• 통합검색(528)
  • 리포트(488)
  • 자기소개서(31)
  • 논문(7)
  • 시험자료(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"VHDL code" 검색결과 181-200 / 528건

  • 디시설 - ‘1’ 개수 카운터 Leading one 카운터 설계
    하므로 loop 문 안에서 다른 조건을 적용해야 한다. 이 실습에서는 loop 문을 다양하게 활용하는 방법에 대해 배울 수 있다.실습 내용실습 결과‘1’ 개수 카운터 VHDL 코드 ... - 코드 주요 내용 및 동작 부분 해석for ~ loop문 : loop 문은 같은 코드가 여러 번 반복될 때 유용하다. loop 문도 if 및 case 문과 같이 순차적인 실행이 ... 를 decimal로 변경해주었으며, 출력결과는 입력의 ‘1’의 개수와 동일하게 정상 출력된다는 것을 알 수 있다.- 코드 주요 내용 및 동작 부분 해석while ~ loop문 : 15행
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • Design Flow of a Digital IC 요약
    을 사용한다.Physical design/Layout synthesis phase (물리적 디자인/레이아웃 합성 단계)- 논리 합성으로 검증된 VHDL 코드를 가지고 Synopsis ... - 이 단계에서는 FPGA나 CPLD(complex PLD) 등에 사용가능한 비트스트림 파일을 생성한다.- 초기합성을 거치면 HDL 코드의 기능 검증을 위해 테스트 벤치를 통한
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 논리회로실험 프로젝트 예비
    하였다. 단, 해당 코드는 이해를 돕기 위해 간략히 나타 내었고, 실제 프로젝트 진행 시에는 VHDL 문법에 맞게 수정해야 한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2018.09.26
  • VHDL VGA Pattern Generator
    띄우기04실습결과05실습소감01. 실습목적① 클럭 분주를 동한 VGA Pattern Generator을 설계하고 클럭과 데이터 전송의 상관관계를 안다.② VHDL을 이용하여 R ... , G, B 3색을 세로줄 패턴으로 화면에 Display 한다.③ VHDL을 이용하여 R, G, B, W 4색을 가로줄 패턴으로 그라데이션하여 화면에 Display 한다.④ VHDL ... 코드를 이용하여 R, G, B 3색으로 나의 이름을 화면에 Display 한다.⑤ ②, ③, ④번을 Key를 이용하여 한 번에 설계한다.02. 이론빛의 삼원색RGB 전체 색 표현
    리포트 | 16페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2018.08.27
  • 성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter
    성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter입니다.당시 한태희 교수님이셨고, 두번째 과제였습니다.8bit decimal Counter schematic 및 소스코드 첨부합니다.modelsim 상에서 VHDL파일로 실행가능합니다.
    리포트 | 1,000원 | 등록일 2017.05.23
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    를 수행하며 디바운싱 코드와 분주기 설정 코드에 대하여 이해하였으며 stopwatch를 구현하는데 있어 필수적인 요소로 사용되었다. 또한 VHDL로 작성된 코드를 RoV-Lab ... 는 분, 초,초의 시간을 표현하는 stopwatch VHDL 코드를 작성해 보고 RoV-Lab3000을 사용하여 하드웨어에서의 동작을 확인한다. 최종적으로 작성된 소스코드와 하드웨어 ... 논리회로설계 실험 설계과제 보고서 #2Stopwatch실험 배경 및 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 논리회로실험 - 제 7장 3비트 updown counter로 binary와 gray 코드로 설계 결과보고서
    코드를 구성하는 기본 설정(1)설계 사항-Binary/Gray code를 설계한다.-주어진 entity, 상태표 및 상태도 사용-완성된 Binary/gray counter를 KIT ... )Moore machine-밀리 머신은 클럭과 함께 동기 작동한다.4)3Bits up/down counter(Binary code & Gray code)(1)state table(2 ... . IntroductionVDHL의 순차 논리 회로 설계에서 Mealy machine과 Moor machine을 이해하고 이를 3비트 up/down counter로 binary와 gray 코드
    리포트 | 20페이지 | 1,000원 | 등록일 2014.08.15
  • 판매자 표지 자료 표지
    VHDL 설계-encoder
    하였다. process를 정의하기 전에 함수를 정의하였는데, enable신호를 만들어 주기 위해 입력된 2진수의 학번 신호를 10진수로 디코딩하는 함수이다.함수명: code_to ... _enable기 능: 학번 신호를 10진수로 디코딩입 력: code: std_logic_vector(3 downto 0), num: integer출 력: result: std ... encoder를 시뮬레이션하여 동작을 검증하기 위해 테스트벤치 코드를 작성해야 한다. 테스트벤치 또한 일반적인 VHDL 코드와 같은 구성을 가진다. 필요한 신호를 정의하기 위해 IEEE
    리포트 | 15페이지 | 2,000원 | 등록일 2012.07.12
  • 판매자 표지 자료 표지
    디지털공학실험 07. 직렬덧셈기 결과
    나머지 출력값들이다.[회로][VHDL Module Code]x를 4비트로 잡고 0101 이라는 초기값을 저장하였다.y를 4비트로 잡고 0110 이라는 초기값을 저장하였다.ci ... 순차회로인 직렬가산기에 대해서 학습했고, 또 그를 토대로 VHDL로 설계해보았다. 수업자료의 직렬가산기의 Operation과 그를 통한 상태표와 상태그래프를 통해서 VHDL 모듈 ... 코드를 작성했다. (나는 여기서 정확성과 편의를 위해 수업자료와는 다르게 변수를 따로 초기화해주었다. ) 그리고 그를 토대로 Test Bench 코드를 통해 시뮬레이션 해보
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29
  • 논리회로설계실습-FSM-예비보고서
    의 작동에서 나타나는 오류인 glitch와 chattering, bouncing에 대하여 알아본다. 이 후 무어 머신을 사용하여 커피 자판기 작동을 VHDL코드를 작성해 본 후 ... 논리회로설계 실험 예비보고서 #9실험 9. 순차회로 설계 - FSM실험 목표FSM의 두 종류인 밀리 머신과 무어 머신에 대하여 이해하고 VHDL에서의 사용법을 이해한다. 하드웨어 ... 테스트 벤치 코드를 사용하여 소스코드가 정상적으로 작동하는지 확인해 본다.예비 이론FSM (Finite state machine)State machine은 순차회로를 제어
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 판매자 표지 자료 표지
    디지털공학실험 08. 신호등 결과
    < Traffic Light 결과보고서>VHDL 코드[VHDL Module Code]library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE
    리포트 | 6페이지 | 1,000원 | 등록일 2017.06.29
  • 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06-Post
    -bit Shift Register & One shot Enable을 설계하면서 임의적인 flag 역할을 하는 cnt 변수를 사용하였다. 위의 source code에서는 임의로 1 ... 는 것이 코드 간소화 이득이 있다. 이 방식도 결국 수시로 들어오는 Clock을 한 번만 인식하는 결과를 가져온다는 점에서 작동 원리는 동일하다.Input In0는 bus ... 하게 코드를 적을 수 있다. Toggle에 관한 함수를 만들어서 사용한다면, 가시성이 뛰어난 코드를 작성할 수 있을 것이다.(example : GPIO의 ODR 구조체 안에 CNT
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • [논리회로과제]해밍코드 4 Input 7 Output ENCODER,DECODER 만들기
    의 architecture을 구성하여 해밍코드가 출력되도록 하는 vhdl을 구성하고 testbench file로 simulation하여 입력값과 출력값을 비교한다.이를 통하여 수업시간에 배운 vhdl을 응용해보고 이해하는 것이 이번 과제의 목적이다. ... 4비트의 입력을 받아 7비트의 해밍코드를 출력하는 인코더를 만든다.A,B,C,D는 정보비트, H1에서 H7는 해밍코드이다. 왼쪽 그림의 entity와 entity안
    리포트 | 15페이지 | 4,000원 | 등록일 2013.01.21
  • VHDL이란?
    을 통일할 수 있게 되었다.2) 광범위한 유연성VHDL은 복잡한 제어 논리를 간결한 코드로 기술 할 수 있는 강력한 언어구조를 가지고 있다. 간단한 하드웨어 모듈의 구현뿐만 아니 ... 디지털 회로 설계HW#2목차VHDL이란?VHDL의 장단점VHDL의 구성1)Entity declaration2)Architecture body3)Library, ObjectVHDL ... modeling)참고 문헌VHDL이란?지난 과제에서 조사해봤던 반도체 기술과 더불어 집적회로의 성능과 집적도는 지금까지 빠른 속도로 발전해왔다. 따라서 이러한 고도로 집적화된 IC와 시스템
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • 서강대학교 디지털논리회로실험 3주차결과
    코드체계를 다른 코드체계로 변환하는 논리회로이다. 위의 회로에서는 n-bit binary code가 사용되는데, n개의 Input에 따른2 ^{n}가지의 output을 내보낼 수 ... 하고, Output을 LED에 연결하면 Input에 따라 어떤 결과값들이 나오는지 확인할 수 있다.▲ 2-to-4 Decoder의 VHDL code마찬가지로 VHDL code로도 동일 ... 한 Logic을 표현할 수 있다. VHDL code는 entity와 architecture 부분으로 나뉘어지는데, entity에서 Input, Output pin을 선언해주
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 판매자 표지 자료 표지
    [디지털 논리회로 실험] 8장. 보수와 병렬 가, 감산기 결과레포트
    이 일정한 저항값을 같지 않았기에 측정한 실험마다 모두 다른 결과 값을 갖게 되었다.- 참고문헌 -디지털 논리실험 본 교재 제 8장http://www.engineerclub.in/2014/04/vhdl-code-for-bcd-adder.html(이미지출처) ... 가산기에서 4비트로 입력할 때 0~9까지 십진 숫자를 표시하기위해 4비트를사용하며 0000~1001까지의 코드만 사용한다. 따라서 사용하지 않는 1010~1111 까지의 코드 ... =1000+0110=14=1110이지만, BCD가산기에선 사용하지 않는 코드이므로 +6을 하여 10100 으로 나타내고, 이때 C4=1 이다.- 전반적인 실험에 관한 측정오차 토의 -출력
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • VHDL실습 메모리(ROM,RAM)설계
    VHDL 레포트1.서론 - (1) signal vs variable(2) ROM vs RAM2.실습내용 - (1) signal(2) variable(3) ROM(4) RAM1 ... .서론(1) signal vs variable? signal : ① 할당은 ‘ 코드전체에서 사용가능하다.④ 순차코드 내부에서 사용될 때는 값의 갱신이 즉각적으로 이루어지지 않 ... , procedure 내부에서만 사용가능하다.④ 값의 갱신이 바로바로 이루어진다.⑤ 순차코드 내부에서만 사용가능하다.(2) ROM vs RAMBus = 8bit? ROM 설계Address = 2
    리포트 | 10페이지 | 2,000원 | 등록일 2019.04.20
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    하였다. 순차회로에서 수행한 내용들이 linetracer를 구현하는데 많이 사용되었으며 분주기 설정, finite state machine 설계 등이 있었다. 또한 VHDL로 작성된 코드 ... 논리회로설계 실험 설계프로젝트라인트레이서1. 실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행 ... 센서의 작동 원리에 대하여 이해한다. 이를 사용하여 검은색 바탕에 흰색선으로 그어진 트랙 따라 주행하는 라인트레이서 코드를 설계해본다. 이후 라인트레이서의 작동을 모의 주행을 통해
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    (02)2.5. PIEZO (03)2.6. Text VFD (03)Ⅱ. 본론 (04)1. 실험 장비 (04)2. 실험 방법 (04)3. 소스코드 (05)4. 동작 검증 (12)4 ... 를 참고하시는 분께 몇 가지 드릴 말씀이 있습니다.- 소스코드는 보고서 맨 마지막에 전체가 첨부되어 있습니다. 붙이신 후에 적절히 버튼 및 LED에 맵핑하세요. 적절한 맵핑을 위 ... 해서는 본 보고서를 읽어보셔야 할 겁니다. 그리고 변수 이름이 최대한 그 역할과 같도록 되어있으므로 변수명도 참고하세요.- 해당 소스코드를 그냥 옮겨서 붙인 후에 실행시키는 게 다
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 판매자 표지 자료 표지
    디지털회로 결과 보고서[부울대수(Karnaugh Map]
    Excess-3 converter회로를 구성하고 출력을 확인하시오.BCD 코드Excess-3코드D _{3}D _{2}D _{1}D _{0}E _{3}E _{2}E _{1}E _{0}0 ... }01000011결과분석 : BCD코드를 Excess-3 코드로 변환하는 실험이다. 입력 4개에 대한 출력이 4개 로 상당히 복잡한 회로의 실험이었다. Graphic editor ... 에서의 모습으로 회로를 구성하는 데에서 한계를 느껴 VHDL 텍스트 코딩을 확인하며 실제 회로를 구 성하였다. 아래 부분 출력 LED의 순서는 위에서부터E _{0},E _{1},E
    리포트 | 5페이지 | 1,000원 | 등록일 2018.06.12 | 수정일 2018.11.29
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 26일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:34 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감