• 통합검색(529)
  • 리포트(488)
  • 자기소개서(31)
  • 논문(8)
  • 시험자료(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"VHDL code" 검색결과 421-440 / 529건

  • VHDL MUX and DEMUX(vhdl prelab 입니다)
    (TDM : time division multiplexing), 그리고 코드 분할 다중화(CDM : code division multiplexing)방식으로 분류한다. 주파수 분할 ... 다중화 접근(FDMA) 기술은 아날로그 신호의 다중화에 이용되고, 시간 분할 다중화 접근(TDMA) 기술은 디지털 신호의 다중화에 이용된다. 그리고 코드 분할 다중화 접근(CDMA ... )그림는 2 입력 MUX의 논리회로와 논리식을 표현하고 있다. 이와 같은 회로의 논리식을 이용한 VHDL 표현을 자료흐름 표현이라 한다. 그림의 동작은 신호 Sel=’0’이
    리포트 | 16페이지 | 2,000원 | 등록일 2008.09.28
  • FF, SP CONVERSION
    Webpack의 기능▶ VHDL 코드 합성Xilinx ISE는 유저가 코딩한 VHDL이나 Verilog 또는 SCH를 Netlist로 만드는 과정을 수행하도록 지원한다. ISE는 기본 ... 한 VHDL 모듈은 합성을 통해서 Xilinx FPGA의 라이브러리 셀로 구성된 Netlist로 변환되어야 한다.▶ UCF(User Constraints File) 작성설계자
    리포트 | 14페이지 | 1,000원 | 등록일 2010.03.26
  • 카운터결과보고서
    다.② Waveform으로 조건 기술③ ModelSim으로 시뮬레이션- 주요부분 코드 설명 -? Asynchronous Counter의 VHDL 설정① clk, rst를 INPUT, cnt ... 부분 코드 설명 -? synchronous Counter의 VHDL 설정① clk, rst를 INPUT, cnt를 OUTPUT설정② PROCESS 선언③ IF, ELSEIF 조건 ... 다.② Waveform으로 조건 기술③ ModelSim으로 시뮬레이션- 주요부분 코드 설명 -? synchronous Counter의 VHDL 설정① clk, rst를 INPUT, cnt
    리포트 | 12페이지 | 1,500원 | 등록일 2009.05.25
  • 2-port Nand,Xor, 3-port AND gate의 설계
    Gatput)출력(output)ABCZ00000010010010000110101011001111ABCZZ = A · B · C2. 관련 기술 및 이론(4) 객체(Object) VHDL ... 에서 신호, 변수, 상수와 같이 어떤 값을 가지고 있는 것을 객체라고 한다. 모든 객체는 자료형을 가져야 하며 VHDL은 무한한 종류의 자료형을 사용할 수 있도록 되어 있다. i ... ) 신호(Signal) signal 객체의 경우 a, b, c는 객체의 이름이며 a, b, c의 객체의 종류는 signal이므로 선으로의 구현이 가능하다는 것이다. VHDL 설계
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.24
  • VHDL을 이용한 스톱워치 구현
    I.프로젝트 개요VHDL을 이용한 Dot Matrix LED 제어 및 STOP WATCH 구현3개의 Dot Matrix로 MIN, SEC, SEC_HUN 표현Stop 버튼
    리포트 | 13페이지 | 3,000원 | 등록일 2011.09.07
  • VHDL을 이용한 신호등 설계 프로젝트
    1. 실험의 목표VHDL을 이용하여, HBE-COMBO II 보드에 장착되어 있는 Traffic Light Module을 제어하여, 신호등 컨트롤러를 구현한다.① 교차로에서 차량 ... 를 이용하여 체계적으로 표현하였습니다.6. Quartus에서 표현한 회로 구성도7. VHDL을 이용한 코딩 & 분석-코딩분석은 의 Process를 바탕으로 설명
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • 판매자 표지 자료 표지
    [VHDL] Entity, Architecture, VHDL, Process문
    [엔터티_이름];엔터티의 입출력을 정의함에 있어 VHDL에서 사용할 수 있는 신호의 종류는 총 다섯 가지가 있다. 아래그림에서와 같이 나타난 신호의 흐름은 다음과 같다.in : 신호 ... 에서 프로그래밍된 병행문은 하드웨어상의 네트리스트와 같은 의미로서 수행되기 때문에 코드 내의 위치상 선후 관계와 실행 순서와는 실제 아무런 상관이 없다. 소프트웨어 프로그래밍과의 중요 ... 한 차이점이라 하겠다. 이외에도 VHDL은 하드웨어 기술 언어임에도 불구하고 일반 언어에서와 마찬가지로 순차문을 지원하고 있다. 이러한 순차문은 프로세스문 내에서만 기술될 수 있다.
    리포트 | 4페이지 | 1,500원 | 등록일 2009.05.04
  • car security system vhdl
    imulation을 통해서 확인하시오.문제 풀이 ;위의 system은 자동차 경보 system과 latch의 결합으로 이루어져 있다.이번 coding은 두 회로의 결합으로 만들어 보겠다.우선 위 ... 의 회로 중에서 다음에 해당하는 회로의 coding을 해 보겠다.library ieee ;use ieee.std_logic_1164.all;entity movement isport ... Designing a Car Security System -Version2 VHDL 설계Qustion!!!교과서 page 199의 그림 6.18에 보여진 modified car
    리포트 | 5페이지 | 1,000원 | 등록일 2006.10.28
  • [무선통신] 고속데이터 처리를 위한 터보 인코더 설계
    할 수 있는 장점을 얻었다. 그리고 C언어를 이용한 최적화된 시뮬레이션과 터보 코드의 성능평가를 통한 최적화를 실시하였다. 그리고 최적화된 정보를 바탕으로 터보코드VHDL언어 ... 화된 정보를 바탕으로 터보코드VHDL언어를 이용하여 설계하고, Xilinx Vertex 1000E(XCV1000E)-PQ240칩을 이용한 테스트 보드에 다운로드 후 PCI ... Multiple Access)방식의 터보코드에 대해 연구하였으며 터보 코드에 사용하는 인터리버 중 신호대 잡음비 성능이 가장 좋은 GF인터리버와 터보 코드의 단점 중에 하나인
    리포트 | 4페이지 | 2,000원 | 등록일 2004.05.21
  • xilinx를 이용한 FPGA실습
    가 오른쪽에서 왼쪽으로 이동하는 것을 확인할 수 있다.4. 설계 결과(Buzzer)Buzzer에서 소리가 나는 것을 확인할 수 있었다.5. 토 의이번 실습은 VHDL을 이용 ... 한 결과를 ModelSim을 이용하여 소프트웨어상으로만 확인하였지만 이번 실습에서는 하드웨어로 직접 확인했다는 것에 의미가 있었다. 코드를 제대로 입력했음에도 불구하고 장비 문제나 케 ... 이블 문제로 실습이 원활히 되지는 않았지만 다른 조와 같이 상의하며 해보니 수월하게 진행되었다.5. 토 의지금까지 우리가 배우고 설계해 왔던 내용과 코드들이 실제로 하드웨어에 응용
    리포트 | 13페이지 | 1,000원 | 등록일 2010.06.24
  • sk 자소서 - 수정본
    , 결과에 대해 최대한 구체적으로 작성해 주십시오. (1000 자 10 단락 이내)Title : Only one team3학년 당시 설계 프로젝트는 VHDL을 이용하여 Spartan ... Board의 LCD에 시계를 구현하는 것이었습니다.교수님께서 일초에 한 글자씩 새기는 기본 소스를 주셨지만, 500줄 가까이 되는 코드에, 주석 또한 아리송한 영어로 작성되어 있 ... 어 모든 팀들에겐 난제로 느껴졌습니다. 한 달간의 노력으로 디지털 시계의 시간, 날짜 등의 알고리즘 코드는 대략 완성할 수 있었습니다. 하지만 정작 중요한 시계의 출력은 7개의 팀
    자기소개서 | 5페이지 | 3,000원 | 등록일 2011.04.04
  • [공학기술]디지털 시스템 설계 8장 연습문제(일부)
    the behavioral VHDL code for the BCD up-down counter.P8.9 Write the structural VHDL code for the BCD ... ounter VHDL code as a component.⇒ p8_9.VHD⇒ p8_9cnt.vhd⇒ p8_9comp.vhd
    리포트 | 4페이지 | 3,500원 | 등록일 2007.06.11
  • 현대다이모스 자기소개서
    에 LED를 심고 이것을 태블릿 PC의 Application과 블루투스 통신을 하여 Application에서 입력한 코드 및 연주곡을 LED를 통하여 표시함으로써 기타를 배우고자 하 ... 부분은 저의 전공능력에서도 나타났습니다. 학교에서 수행한 프로젝트 VHDL을 이용한 CPU 설계, 영상처리, 리눅스 등, 모두 어렵다고 꺼리는 과목들이었지만 저는 할 수 없
    자기소개서 | 4페이지 | 3,000원 | 등록일 2016.04.05 | 수정일 2018.05.16
  • VHDL 멀티사이클 마이크로프로세서 구현
    마이크로 프로세서의 기본적인 형태인 멀티 사이클 머신의 VHDL 소스코드이다.멀티 사이클은 싱글사이클의 단점을 극복한 형태로 구현되었다.내부 스테이트 머신을 갖고 있으며 인스트럭 ... VHDL 코드가 포함되어 있다.최종적으로 MODELSIM을 이용하여 해당 소스코드의 동작을 확인할 수 있다.
    리포트 | 4페이지 | 5,000원 | 등록일 2008.04.11 | 수정일 2021.06.28
  • [토끼] VHDL로 구현한 [시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기] 텀프로젝트
    고 LED를 켜는 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity clk isport
    리포트 | 43페이지 | 5,000원 | 등록일 2013.01.17 | 수정일 2020.07.10
  • 라인트레이서
    라인트레이서개요라인트레이서란 -------- 3동작원리 -------- 3라인트레이서프로그램 -------- 8라인트레이서 제작과정 -------- 8후기 --------참고자료 --------※ 라인트레이서란?라인트레이서(Line Tracer)라는 것은제어부, 센서부..
    리포트 | 13페이지 | 1,000원 | 등록일 2010.11.16
  • 비교기 예비보고서
    .1 1비트 비교기1.3 비교기의 동작원리 (진리표)1.3.1 1비트 비교기1.3.2 2비트 비교기1.4 구동방식 (VHDL)1.4.1 1비트 비교기1. 예비조사 및 실험내용의 이해 ... 다. 또한 ASCII나 EBCDIC코드와 같이 알파벳의 순서와 숫자의 오름차순으로 결정되어 있는 코드를 사용하는 경우에는 2개의 문자열의 크기를 비교하는 데에도 사용될 수 있다.1.1
    리포트 | 6페이지 | 1,000원 | 등록일 2009.05.03
  • VHDL을 이용한 롬 & 램 설계에 대한 이해
    있다. Entity 내의 모든 PORT는 디폴트에 의해 SIGNAL이다.3. SIGNAL은 순차코드 내에서 사용될 때 이에 대한 갱신이 즉각적이지 않다. 즉 이에 대한 새로운 값 ... , Function, Procedure 내부에서만 보인다.)3. 갱신이 즉각적이다.(새로운 값은 코드의 바로 다음 행에서 사용이 가능하다.)4. 순차코드에서만, 즉 Process, Function
    리포트 | 5페이지 | 무료 | 등록일 2012.06.28 | 수정일 2018.05.29
  • vlsi 설계 - 자판기
    - 목차 -1. Title2. 목적 및 개요3. 회로 및 시스템구조4. 시뮬레이션 구현① *.vhdl 소스코드② Compilation③ Simulation④ Delay time⑤ ... _100)은 keypad스위치 1, 2, 4, 5에 할당합니다.4. 시뮬레이션 구현① *.vhdl 소스코드-- packge 사용하기 위한 library 가시성 부여library
    리포트 | 14페이지 | 2,000원 | 등록일 2009.10.11
  • [공학기술]디지털 시스템 설계 1장 연습문제(일부)
    : 4,200만개속도 : 1.3GHz ~ 2GHz1.8 using figure 1.9 as a template, write the dataflow VHDL code for the ... tructural VHDL code for the 2-to-1 multiplexer circuit shown in Figure 1.6(a)
    리포트 | 3페이지 | 3,000원 | 등록일 2007.06.11
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 27일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:34 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감