• 통합검색(529)
  • 리포트(488)
  • 자기소개서(31)
  • 논문(8)
  • 시험자료(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"VHDL code" 검색결과 501-520 / 529건

  • vhdl을 사용한 신호등 설계
    if (reset = `1`) then -- reset이 1이면, 카운트를 리셋count `0`);c_state
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.19
  • [컴퓨터 구조] VHDL을 이용한 4bit ALU 설계
    circuit의 기능2) 4-bit logic circuit의 기능3) 4 to 1 MUX의 기능(4) 4-bit ALU의 진리표2) VHDL을 이용한 4-bit ALU설계3) 시뮬레이션후 ... 어져 들어가 있는 것을 볼 수 있는 데, 이 셀렉터 값에 의하여 산술연산과 논리 연산말고도 쉬프트 연산을 추가적 으로 행할 수 있음을 진리표를 통하여 알 수 있다.2) VHDL
    리포트 | 10페이지 | 1,000원 | 등록일 2005.06.01
  • [VHDL] memory설계 using VHDL
    VHDL을 이용해서 memory를 설계하고,컴파일 후, 시뮬레이션한 결과(source code)-- This source is VHDL source of memory.-- 16 ... university-- This source is free.-- Reference: Digital circuit design using VHDL (한올 출판사)-- library
    리포트 | 4페이지 | 1,000원 | 등록일 2003.05.29
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    --------------------- 69. VHDL 코딩 --------------------------------------- 610. Simulation ---------- ... 는 것이었다. 그 친구의 논리도 들어보면 맞지만 내가 생각해낸 논리역시 정확하다고 믿었기에 그대로 밀고 나갔다. 나의 논리는 위 코드에서 나와있듯이 50M번을 돌았을 때가 0.01 ... 하였다.이것으로 컴퓨터구조 텀 프로젝트 보고서를 마치겠습니다. 감사합니다.14. 참고자료? 디지털 시스템 설계를 위한 VHDL 기본과활용. - 박세현, 그린.1998? 디지털공학 및
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • lg전자최종지원서
    VHDL을 이용하여 Spartan Board의 LCD에 일반적인 손목시계와 비슷한 동작을 하고, 거기에 추가 기능을 더한 디지털시계를 구현하는 것이었습니다. 학교 앞 고시원까지 이사 ... 를 했고, 한 달간 피나는 노력을 한 결과, 저희 팀은 Spartan Board에 디지털 시계의 시간, 날짜, 초시계가 동작하도록 하는 알고리즘 코드를 완성할 수 있었습니다. 하지 ... 는 방법은 알아내질 못했던 것입니다. 그 방법은 교수님께서 LCD 출력참고파일로 주신 소스코드를 약간 수정하는 것이었는데, 착시 현상을 이용해야 하는 방법을 그 누구도 알아내
    자기소개서 | 4페이지 | 3,000원 | 등록일 2011.04.04
  • [asic] vhdl을 이용한 전가산기 설계
    로 이루어진 반가산기 두개와 or게이트 하나와 같음을 알 수 있다.2. VHDL code와 파형1) 전가산기(Dataflow)library IEEE;use IEEE.std_logic
    리포트 | 5페이지 | 1,000원 | 등록일 2004.05.03
  • [하드웨어 언어]VHDL로 작성한 차량 제어 프로그램
    속도에 따라 적정 거리 이하일 경우 경보를 울리고 LED3를 켜주고 충돌예상이 될 경우 브레이크를 제어하고 경보는 해제한다.3. VHDL codinglibrary IEEE;use ... 이어준 코드이다. Component 문을 사용해서 위에서 작성한 각각의 블록의 포트를 선언해 주고 port map을 사용해서 각각에 맞게 포트를 맞춰 준다.Top Block ... Diagram4.VHDL simulation1)차간거리제어먼저 차간거리제어에서 차간 거리와 자차 속도는 고정 시켜놓고 상대방 속도를 변화 시켜서 브레이크가 제어 되는 것을 확인해 보
    리포트 | 19페이지 | 1,000원 | 등록일 2006.05.28
  • 전자자물쇠(VHDL)
    기간 동안 해당 key 입력값을 유지하도록 했다. 이때 key는 임의 순간에 오직 1개의 key 입력만 받는다고 가정한다.1.2 VHDL 코드 설계library ieee;use
    리포트 | 9페이지 | 2,000원 | 등록일 2007.04.07
  • 논리회로 설계실험 농구전광판
    등이 들어간다.3)전광판의 결과물은 LCD와 SEVEN_SEGMENT에 출력 할 수 있다.4)한 학기 동안 배운 VHDL을 충분히 복습하여 본다.5)실습시간동안 배운 것 외의 기능 ... . 전의 소스코드보다 간단해 진다는 것을 알 수 있었다.ㄹ)BASKETBALL_DATA entityentity BASKET_DATA isPort ( FPGA_RSTB : in STD
    리포트 | 24페이지 | 2,000원 | 등록일 2009.07.10
  • [asic] vhdl을 이용한 시계 설계
    VHDL을 이용한 디지털 시계 설계4) 현재는 달과 일을 각각 설정하게 되어 있다. 여기서 일을 증가시켜서 월이 설정되도록 설계하여라.먼저, 수정 이전상태의 VHDL소스를 보
    리포트 | 8페이지 | 1,000원 | 등록일 2004.05.03
  • lg히타치최종지원서
    캡스톤 설계 1등을 했습니다. 이 경험으로 전 최고의 성취감과 임베디드 시스템의 전문가가 되고자 하는 목표를 정할 수 있었습니다.'Top Secret'3학년 프로젝트는 VHDL ... 을 이용하여 Spartan Board의 LCD에 시계를 구현하는 것이었습니다. 한 달의 노력으로 어렵사리 코드를 완성할 수 있었습니다. 그런데 5개의 팀 중 저희 팀만 유일하게 LCD
    자기소개서 | 4페이지 | 3,000원 | 등록일 2011.04.04
  • 자판기(vending machine) VHDL
    의 key 입력만 받는다고 가정한다.1.2 VHDL 코드 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic
    리포트 | 8페이지 | 2,000원 | 등록일 2007.04.12
  • [전자공학] Modelsim & Synplify & ISE를 이용한 VHDL 시뮬레이션
    : 이 상 철..PAGE:31. Function 시뮬레이션1. 합성 및 시뮬레이션 할 VHDL 코드를 작성한다.2. test_vector를 작성한다.(모델심에서의 test ... 시뮬레이션저장해 놓은 VHDL 코드를 하위 모듈부터 컴파일을 시킴니다.(오른쪽 하단의 compile 버튼을 누르면 됩니다.)Digital Communication 소모임 I작성자 ... ..PAGE:1Modelsim & Synplify & ISE를 이용한VHDL 합성 및 시뮬레이션2003. 11. 24Digital Communication I이 상 철my-s
    리포트 | 39페이지 | 1,000원 | 등록일 2003.11.25
  • 7segment
    . 05. 19.디지털논리- 7 Segment -/Problem. 7-Segment■ 문제 개요VHDL을 이용하여 7-Segment를 나타내어라.■ 문제분석 및 풀이방법▶개요7 ... 이 10진수로 볼 때 0, 1, 2, ... , 7과 같이 차례로 변화되므로 0부터 7까지 세는 카운터라고 말하기도 한다.▶BCD카운터BCD 카운터는 10개의 BCD 코드값(0000
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • [vhdl] fifo
    FIFO⊙ sourcelibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fifo isport ( clk: in std_logic;wr, rd : in std_logic;wrin..
    리포트 | 12페이지 | 1,500원 | 등록일 2004.06.03
  • [디지털 시스템 설계] 코드변환기 (BCD-> gray, BCD-> excess-3 code)
    1. Introduction 1) 코드 변환기 : 입력되는 Data는 BCD code이고 두 개의 선택 스위치 A, B가 있다. A가 선택되면 Excess-3 code ... 를 갖는 코드이다. 각 비트수가 왼쪽부터 순서대로 8,4,2,1의 값을 갖는 이진수라고 생각하면 된다. 0부터 9까지를 2진수로 표현한 코드이다. - Excess-3 code(3 ... 초과 코드) : BCD코드에 3을 더해서 만든 code이다. - Gray code : 인접한 수가 한 비트만 변하여 만들어진 코드이다. 비가중치 코드로 연산 코드로는 부적합
    리포트 | 8페이지 | 1,000원 | 등록일 2004.12.18
  • [디지털설계] VHDL로 구현한 vending Machine(자동판매기)
    코딩▲ 김근태: 메뉴 추가 방법 제시, 최대 코인 입력값 추가 구현■ appendix○ appendix A. Source code○ appendix B. Total block ... {VHDL Term Project Vending Machine━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━{{VHDL Term Project ... ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━{Term-Project 최종 보고서■ 개요03학년도 2학기 VHDL회로 설계 수업을 통하여 익힌 내용을 바탕으로 Vending
    리포트 | 26페이지 | 3,000원 | 등록일 2003.12.12
  • VHDL을 사용하여 32비트 MIPS 프로세서를 설계
    VHDL을 통하여 MIPS를 설계하는 동시에 기능의 추가 및 최적화에 그 목적이 있습니다. 프로젝트를 시작하면서 생각한 최종 버전은 하버드 아키텍처를 사용함과 동시에 두 개 ... equal100011load word101011store word000010jump- op(31:26) 코드에 따른 명령어 형식 -op(31:26), funct(5:0)명령어(R ... , 100110xor000000, 100111nor000000, 101010slt- funct(5:0) 코드에 따른 R-format 명령어 -3. 컴포넌트 소스 및 분석(1
    리포트 | 64페이지 | 2,000원 | 등록일 2007.01.19
  • [마이크로프로세스] 로봇 ARM
    와 기능의 VHDL 코드를 GDF합성을 통해 Single Chip 으로 레이아웃 할 수 있게 만든 것이 아래 [그림2-5]에서 보이는 회로도이다.이제 이 회로도의 각 부분별로 나누 ... 발생기의 VHDL코드와 출력파형을 시뮬레이션 한 결과이다.{[그림9]발생된 3개의 유형의 PWM(d)Rom의 설계시간 기억 Ram을 설계했는데 굳이 Rom을 설계할 이유는 없 ... 하게끔 설계한 것이다. 램과는 달리 사용자가 직접 동작을 루프 돌릴 수는 없다.[그림2-10]은 루프운동 Rom의 VHDL 코드이다.{[그림2-10]루프운동 Rom의 VHDL 코드Ⅲ.結
    리포트 | 18페이지 | 2,500원 | 등록일 2002.09.24
  • [전기전자전파공학전공]VHDL으로 디지털 시계 만들기 - 카운터
    설계 포트폴리오학부(과)명전파?정보통신공학부프로그램 명전파공학 프로그램성 명김영훈학 번20021100교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 ... 를 출력 Eo로 내보내면 된다.3. 설계 결과 및 검증① VHDL 소스로부터 나온 타이밍도 - 별첨
    리포트 | 11페이지 | 1,000원 | 등록일 2005.12.10
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 30일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:16 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감