• 통합검색(529)
  • 리포트(488)
  • 자기소개서(31)
  • 논문(8)
  • 시험자료(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"VHDL code" 검색결과 481-500 / 529건

  • 디지털 시스템 설계 12장 연습문제(일부)
    the behavioral VHDL code for a microprocessor that can execute the instructions in the instruction set defined in Problem P12.7
    리포트 | 4페이지 | 4,000원 | 등록일 2007.06.11
  • VHDL을 이용한 UART설계
    UART란?? Block Diagram Transmitter / Receiver / Baud Rate generator Simulation_top Reference VHDL c ... oding (Top 3block)Contents비동기 통신 (Universal Asynchronous Receiver Transmitter) ● 직렬데이터를 송수신하는 직렬 통신 ... *************101VHDL Coding_Toplibrary ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all
    리포트 | 24페이지 | 3,000원 | 등록일 2007.12.23
  • Vhdl을 이용한 8x1 MUX 설계
    Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity mux2 isPort ( i1 ... - Target Device:-- Tool versions:-- Description:---- VHDL Test Bench Created by ISE for module: mux8--
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • vhdl을 이용한 32비트 감가산기
    (2) fulladder를 사용한 N비트 리플 캐리 가산기 VHDL 소스 코드-- n_adder.vhd 파일 --library ieee;use ieee.std_logic_1164
    리포트 | 11페이지 | 1,000원 | 등록일 2007.01.19
  • 논리회로 설계 실험 계산기 설계
    수 있다.4)여러 개의 entity가 어떤 구조로 실행되는지 생각해봄으로써 VHDL 프로그램의 구조를 좀 더 폭넓게 이해 할 수 있다.2. Problem Statement① ... Describe what is the problem.이번 실습에서 우리에게 주어진 entity는 세 개이지만, 우리가 소스코드를 완성시켜야 할 entity는 data_gen entity ... 를 register file에 저장※ 이 때 LCD 출력은 LCD_test module을 통해서 자동으로 이루어 짐ㄷ) 소스코드의 구조그림 소스코드 구조☞LCD_DISPLAY
    리포트 | 21페이지 | 1,000원 | 등록일 2009.07.10
  • LG전자 합격 자기소개서
    보다도 값진 경험이었습니다.물론 개인적인 관심을 가진 분야 이외에도 다양한 분야에 대한 지식을 꾸준히 습득하기 위해 항상 노력하고 있습니다. OOAD 기반의 소프트웨어 설계, U코드 ... 를 생성하는 미니C 컴파일러 작성, 네트워크 프로그래밍, VHDL을 이용한 FPGA 회로 제어 프로그램 작성 등 다양한 프로젝트를 진행한 경험이 있으며 이러한 경험을 통해 상당히
    자기소개서 | 6페이지 | 3,000원 | 등록일 2013.07.20 | 수정일 2014.03.01
  • 컴퓨터의 이해 과목 주요자료정리
    . 가산기, 감산기, 코드변환기, 디코더, 인코더 등).디코더 : 컴퓨터 내부에서 디지털로 코드화된 데이터를 해독하여 그에 대응되는 아날로그 신호로 바꿔주는 컴퓨터 회로로 인코더 ... 으로부터 자동으로 생성되는 설계를 물리적인 칩 면적 정보와 지연 파라미터를 사용해서 최적화HDL(Hardware Description Languages)VHDL(VHSIC ... 에서 주기억 장치로 읽음BIOS : 컴퓨터 전원을 처음 켤 때 수행되는 소프트웨어 코드로 컴퓨터를 초기화 하고, 디스크에 저장된 OS를 읽어 주기억장치에 저장CMOS : BIOS를 저장
    리포트 | 10페이지 | 1,000원 | 등록일 2010.04.07 | 수정일 2015.11.03
  • 세븐 세그먼트
    이다.2. VHDL code와 파형--bcd2seg.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned ... egment(temp : std_logic_vector (3 downto 0)) return std_logic_vector isvariable seg_coding : std_logic ... _vector (6 downto 0);begincase temp iswhen "0000" => seg_coding :="1111110";when "0001" => seg_coding
    리포트 | 4페이지 | 1,000원 | 등록일 2004.12.09
  • VHDL를 이용한 LCD 설계
    Address 정보와, Clear, 커서 이동에 대한 명령코드를 가지고 있음. 쓰기만 가능- ' Data Register(DR) : D.D.RAM, C.G.RAM에 데이터를 쓰거나 읽 ... 면 AC는 자동적으로 +1 또는 -1을 수행- Display Data RAM(D.D.RAM) : 8bits 글자 코드의 디스플레이 데이터를 가지고 있음. D.D.RAM 내에 글자표시 ... _VECTOR(7 downto 0));end LCD_TEST;( VHDL Modual - File name : "lcd_test " 로 지정 )- entity문entity의 이름
    리포트 | 16페이지 | 1,000원 | 등록일 2010.05.27
  • [디지털논리]Sequential Logic Circuit Design ; Synchronous Binary up-counter
    설계 포트폴리오학부(과)명전파?정보통신공학부프로그램 명전파공학 프로그램성 명권명진학 번20001165교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 ... - VHDL- Block diagram3. 설계 결과 및 검증Quartus_II Wave form file- VHDL 소스로부터 나온 타이밍- Block diagram 소스로부터 나온 ... - VHDLif~then~else 문을 사용하였습니다.- Block diagram3. 설계 결과 및 검증Quartus-II Wave form file- VHDL 소스로부터 나온 타이밍
    리포트 | 7페이지 | 1,500원 | 등록일 2006.06.21
  • VHDL을 이용한 디지털 회로설계
    과 비교해 볼 때 컴퓨터 프로그래밍과 용어적인 차이가 있을뿐 설계상의 절차를 비교하면 크게 차이나지 않는다.일단 절차적 설계 방식으로 작성한 VHDL 코드는 소프트웨어 적 측면 ... 로 바꿔준다. 이에 대응하는 VHDL을 이용한 하드웨어 디자인에서는 이러한 게이트 레벨의 코드를 본 합성 과정을 거처 네트리스트(netlist)로 결과물을 만들고 이를 이용해 레이아웃 ... R E P O R T_______________제 목과 목교수님학 과학 번성 명제출일개 요_________1. VHDL 이란2. 간단한 VHDL 모델링과 VHDL의 기본표현3
    리포트 | 21페이지 | 1,000원 | 등록일 2005.05.19
  • 전가산기 전감산기 결과보고서
    library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use
    리포트 | 5페이지 | 1,000원 | 등록일 2008.06.22
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    VHDL 최종 보고서목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 기대효과 일정계획 ( 블록도 ) 프로그램 소스설계의 목표 무엇을 만들 것인가 ? 스톱워치를 만들 예정 ... 2 일까지 : 서적 및 인터넷 조사 11 월 9 일까지 : 소스코드 작성 11 월 16 일까지 : 부품 및 시장조사 11 월 23 일까지 : 회로 구성 11 월 30 일
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • [디지털논리회로]Digital clock design
    설계 포트폴리오학부(과)명전파공학과프로그램 명전파공학 프로그램성 명권명진학 번20001165교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 수김기만 ... ounter and mod-60 counter② Draw the logic diagram by Quartus-II③ Simulate the designed circuit- VHDL ... - Block diagram3. 설계 결과 및 검증Quartus_II Wave form file- VHDL 소스로부터 나온 타이밍- Block diagram 소스로부터 나온 타이밍- 두
    리포트 | 10페이지 | 1,500원 | 등록일 2006.06.21
  • 4bit alu
    2. arithmetic logic unit vhdl 설계Qustion!!!교과서 page 115의 그림 4.10에 보여진 arithmetic logic unit에 대해서 ... VHDL로 기술하고, 성능이 정상적으로 동작되는지를 확인하시오. 성능 검증은 Timing simulation을 통해서 확인하시오.문제 풀이 ;S2S1S0Operation ... 하는 VHDL을 코딩해 보겠다.library ieee;use ieee.std_logic_1164.all;entity le isport(s : in std_logic_vector(2
    리포트 | 11페이지 | 1,000원 | 등록일 2006.10.22
  • 디지털시스템설계_마이크로프로세서_Shifter&Alu의설계
    되어야 하므로, 이때는 무조건 RLamount를 01000 으로 만들어 주면 간단하게 해결된다.위의 사항을 VHDL로 표현한 코드와 주석은 다음과 같다.먼저 16bit 4 to 1 ... mux의 코드는 다음과 같다.entity mux16_4_1 isport(input_0,input_1,input_2,input_3 : in std_logic_vector ( 15 ... 를 이용한 shifter의 코드는 다음과 같다.architecture shifter_16 of shifter issignal sel3, sel2, sel1, sel0 : std
    리포트 | 13페이지 | 1,500원 | 등록일 2008.02.19
  • Brown, Vranesic McGraw Hill 5장 문제풀이.
    *교과서 5장의 5.5, 5.24, 그리고 5.25의 문제를 풀기 바랍니다.(단, 5.5는 VHDL CODE로 작성하여 simulation까지 완성하시기 바랍니다.)5.5) 8
    리포트 | 10페이지 | 2,500원 | 등록일 2009.06.05
  • 임베디드 stepping motor
    IO-mode에서 화살표 키에 의해 제어되는 리눅스 제어 프로그램과 VHDL 코드를 완성하라. 즉, 화살표 키의 키는 방향을 바꾸고, 상하 키는 모터의 속도를 바꾼다 ... . 방향을 바꾸는 경우 모터에 무리가 가지 않도록 부드러운 방향 전환이 가능하도록 제어 코드를 작성하였다.
    리포트 | 3,000원 | 등록일 2005.07.10
  • [ALU] 4bit ALU
    코드(때로 이것을 줄여서 "op code"라고 부른다)을 포함하고 있는 기계 명령어, 하나 또는 그 이상의 연산자 그리고 형식코드로 구성된다. 연산코드는 수행해야 할 연산이 무엇 ... 인지를 ALU에게 알려주며, 연산자는 그 연산을 위해 사용된다(예를 들어 두 개의 연산자를 서로 더하거나 논리적으로 비교하라는 연산일수도 있다). 형식코드는 연산코드와 함께 결합 ... 과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리 장치(sequence logic
    리포트 | 4페이지 | 1,000원 | 등록일 2003.11.27
  • [디지털공학]VHDL을 이용한 신호등구현
    (2) VHDL 소스 코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD ... ; Yb : out bit; Rb : out bit)(6) 설계과정에서 발생한 문제 및 해결과정처음 VHDL Coding 당시에는 state
    리포트 | 5페이지 | 5,000원 | 등록일 2005.07.11
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 28일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:32 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감