• 통합검색(528)
  • 리포트(488)
  • 자기소개서(31)
  • 논문(7)
  • 시험자료(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"VHDL code" 검색결과 21-40 / 528건

  • 판매자 표지 자료 표지
    4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    INDEX1. 실습 제목2. 실습 목적3. 실습 내용(1) 4차선 신호등 제어기 설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3 ... ) vhdl 코드에 대한 시뮬레이션4. 실습 결과물5. 고찰1. 실습 제목 : 4차선 신호등 제어기 설계2. 실습 목적 :앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부 ... 를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • VHDL을 이용한 ALU설계(소스코드포함)
    Digital designProject -Design of ALU-◎Theory- ALU(Arithmetic and Logical Unit) -중앙처리장치 가운데 연산을 수행하는 부분을 ALU라고 한다. ALU(Arithmetic and Logical Unit)는 산술..
    리포트 | 9페이지 | 1,500원 | 등록일 2009.12.01
  • VHDL 8층 엘리베이터 구현 코드 및 보고서, 발표자료
    Elevator Controller를 VHDL 코드로 작성, 그 동작을 검증 한 후 FPGA Kit 로 옮겨 Controller의 Function적 동작을 보여주는 것이 목적이 ... Elevator의 동작을 보기 쉽게 나타냈다.개발에 이용 된 Tool 들은 다음과 같다Modelsim 6.1b(VHDL 코드 작성 및 Function적 동작 검증에 이용 ... )Quartus 4.0(Kit에 HDL 코드를 이식하기 위한 합성 단계에 이용)UltraEdit(보다 용이한 코드 작성에 이용)SoCMaster-XP100(HDL 설계 자료를 구현하는데 이용)
    리포트 | 5,000원 | 등록일 2012.12.06
  • VHDL M bit 가산기와 비교기(post lab 입니다) vhdl code
    (adder)와 비교기(comparator)의 동작을 이해하고 설계하는 것이다. 또한 M bits 가산기와 비교기의 VHDL Code에 대한 실제 동작을 관찰하도록 한다.2 ... 다고 생각한다.# 참고문헌김재철, ISE를 이용한 VHDL 및 FPGA 실습, Xilinx ISE 6.3i 시리즈 활용, EDA-Lab 3000 시리즈 활용, 개정판, 홍릉과학출판사, 2005년.
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL 계산기 소스코드 및 보고서
    을 앞서 실험했던 가산기 감산기의 내용을 그대로 이해하면 된다.그리고 다음으로 코드와 기계장치를 연동하기 위해 사용되는 pin-mapping테이블이다.3. Sources ... & Results1) VHDL source주석문은 vhdl문 내부에서 한글로 선언시 에러 및 글자 깨짐현상이 있어서 서툴지만 영어로 작성하였습니다.calculator.vhd -LCD모듈과 계산
    리포트 | 16페이지 | 4,000원 | 등록일 2010.07.09
  • VHDL코드를 이용한 Seven Segment구현 및 simulation
    _1164.all;entity seg_code isport(A0, A1, A2, A3 : in std_logic; -- A0 ~A3 까지 입력 포트a, b, c, d, e, f, g ... : out std_logic); -- a ~ g 까지 출력 포트end seg_code;architecture sample of seg_code isbegina ... VHDL실습 추석 레포트7-segement 디스플레이담당교수 :담당조교 :전자공학과세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개
    리포트 | 5페이지 | 1,500원 | 등록일 2010.12.27
  • VHDL을 이용한 7-Segement Top 코드 및 시뮬레이션
    1101101000000 0001x0110000000000 000011111110000000 00000Dont' care▶소스코드 및 시뮬레이션 결과?ProcInput code세그먼트 키의 우선 ... VHDL실습 4주차 레포트7-segement 최종 Top담당교수 :담당조교 :전자공학과세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 ... 순위를 정하는 코드이다. 동시에 키버튼이 하나이상 눌려졌다고 했을 경우, 숫자가 큰 수의 입력만 받아들일수 있는 우선순위를 정하여 코드를 작성하였다.library ieee; use
    리포트 | 8페이지 | 2,000원 | 등록일 2010.12.27
  • VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    (Introduction)1) Purpose of the Experiment이번 실험에서는 BCD to excess-3 code converter를 설계하는 것이다. 이 실험 ... 을 통하여 VHDL의 사용법을 익혀보고, Behavioral model과 dataflow model로 프로그램을 해보고 두 개의 방식을 모두 simulation과 emulation ... 하고 있다는 것을 알 수 있다.2) Excess-3 Serial Code Converter Behavioral Source Results① Prelab에서와 달라진 소스코드
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • Verilog와 VHDL을 최상위 설계 소스 코드를 입력으로 한 디폴트 테스트벤치 소스 코드 템플릿 생성 프로그램 소스
    Verilog 1995와2001와 VHDL포트 목록으로 선언된 설계 소스 코드을 읽어 들여서 초기화된 verilog 테스트 벤치 코드로 변환 해주는 프로그램.목차1.소스 코드2.프로그램 실행 화면3.실행 프로그램
    리포트 | 5,000원 | 등록일 2012.12.26 | 수정일 2014.04.10
  • VHDL코드를 이용한 해밍코드decoder, 오류검출및 정정
    2. Hamming code decoder 의 VHDL 코드 작성describe its input output signalsInput: 7 bits Output: 4 ... 결정한다. )make VHDL codesmake a input/output pin assignmentDo a simulation1. Describe the Hamming code briefly ... flip (Hamming_code(6) flip)코드에서는 7비트의 입력 값을 haming code(6), haming code(5),….. haming code(1
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.20
  • [토끼] 10진 카운터 VHDL 구현 및 합성, 분석 _ 상세 설명, 코드 기재
    생각된다.(1-1) 10진 카운터의 구현 - Vhdl Code--/ ============================================================ /
    리포트 | 16페이지 | 5,000원 | 등록일 2013.01.16 | 수정일 2020.07.13
  • [보고서+소스코드]아주대 디지털시스템설계 1차 프로젝트 VHDL이용한 학번설계
    ) 제출 시간은 반드시 엄수한다.(시간이 지난 것은 감점)● 소스코드① Main code② Test bench code● ModelSim 프로그램을 이용한 Testbench 코드
    리포트 | 4페이지 | 3,600원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • [보고서+소스코드]아주대 디지털시스템설계 2차 프로젝트 VHDL이용한 엘리베이터 설계
    ● 문제1. VHDL를 사용하여 아래와 같은 제품을 포함한 elevator를 설계하라.설계 Spec• (1~5층 elevator 자유롭게 이동 가능해야 한다.)• (1~9명 ... 이 각각 있다.1) State Diagram Design2) VHDL Design3) Simulation(1) 정원초과(2) 4층에 elevator가 사람이 없이 멈춰 있
    리포트 | 21페이지 | 5,000원 | 등록일 2014.10.05 | 수정일 2018.12.02
  • M bit 가산기와 비교기(VHDL코드)
    in this code.--library UNISIM;--use UNISIM.VComponents.all;entity fourbits_fulladder is -- fourbits ... omparators를 사용하여, 4비트 comparator를 만드는 과정을 코딩한다. 그리고, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻 ... 다보면, 좀더 복잡한 수식으로 위의 함수적인 관계를 식으로 표현하는 과정을 거쳐야 한다. 코딩에서 동작원리라고 되 있는 부분을 잘 살펴본다.make VHDL codeslibrary
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • BCD to EXCESS-3 변환코드(VHDL)
    . Data Flow Model이 두가지는 프리랩에서 자세히 다룬다.PRELABSpecify the VHDL codes for assignment1 and describe the codes in ... 전자전기컴퓨터설계실험ⅢBCD to Excess-3 code Converter목 차 HYPERLINK \l "실험목적" 실험 목적 HYPERLINK \l "실험도구" 실험 도구 ... 로의 변환이다.Serial code converter는 Mealy FSM의 transition 그래프에 의해 기술된다.Mealy Machine 의 state transition
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 동작 ... 를 응용하여 클락을 카운팅 하는 대신 우리가 입력핀으로 지정한 버튼을 누르면 누른 횟수만큼 카운팅 되게끔 하는 소스파일을 만들것이다. 그리고 VHDL의 가장 큰 특징 중 하나인 c ... 으로 지정하여 이용할 것이다. 그리고 VHDL의 또 하나의 특징인 계층적인 특징을 이용하여 한 소스파일에 모든 기능을 다 코딩하는게 아닌 각각의 기능을 하는 소스파일들을 만들어 제일
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [VHDL]64point FFT/IFFT VHDL 구현 소스코드
    LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY delay ISGENERIC(N_DLY: INTEGER := 32;N_BIT: INTEGER := 10);PORT(rstn: IN ..
    리포트 | 33페이지 | 2,000원 | 등록일 2005.12.18
  • VHDL 코드를 이용한 M bit 가산기와 비교기
    code.--library UNISIM;--use UNISIM.VComponents.all;entity fourbits_fulladder is -- fourbits ... 하여, 4비트 comparator를 만드는 과정을 코딩한다. 그리고, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있 ... 복잡한 수식으로 위의 함수적인 관계를 식으로 표현하는 과정을 거쳐야 한다. 코딩에서 동작원리라고 되 있는 부분을 잘 살펴본다.make VHDL codeslibrary IEEE; -
    리포트 | 17페이지 | 2,000원 | 등록일 2008.09.23
  • 32bit instruction RTL system의 VHDL 구성 및 simulation (코드포함)
    _tc => tc,op_code => inst_bus,word_length => oper_bus,start => start,clk => main_clk,pc_on => pc_on ... 1. 설계목적- 32bit instruction RTL system을 VHDL로 구성하고 simulation을 통해 RTL system의 구현을 확인해 본다.2. Data
    리포트 | 27페이지 | 5,000원 | 등록일 2010.11.05 | 수정일 2024.01.04
  • 16x2 문자형 LCD 를 FPGA상에서 4-비트 모드로 구동하기 위한 VHDL 코드
    entity lcd16x2 isport(Clk : in std_logic; -- system clock(5MHz) Rst : in std_logic; -- asynchronous reset, active high Tick : in std_log..
    리포트 | 9페이지 | 2,000원 | 등록일 2012.02.20
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 26일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감