• 통합검색(4,395)
  • 리포트(3,694)
  • 자기소개서(481)
  • 시험자료(104)
  • 방송통신대(59)
  • 논문(51)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로설계" 검색결과 261-280 / 4,395건

  • [메카트로닉스]논리회로를 이용한 주차장 카운터 회로 설계 및 제작
    1.Objective of the project-Making the parking lot indicator.-Application of the counter circuit.-Review the basic knowledge that I learned during the ..
    리포트 | 16페이지 | 4,000원 | 등록일 2011.01.24
  • 디지털공학(논리회로)-7세그먼트 설계
    설계 기법은 2단 이상의 다중출력회로 설계에는 효과적이지 않으므로 각 함수를 따로따로 최소화하는 것이다.)2) 카노맵 작성ABCD000111100010x10101x11111xx ... 디지털공학 설계과제7-Segment Indicator과 목 명 :이 름 :학 번 :교 수 :제 출 일 :1. 분석7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시 ... 어 있다. 여기서 막대 모양의 LED 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2. 설계1) 진리표 작성입력(BCD
    리포트 | 8페이지 | 3,000원 | 등록일 2009.12.06 | 수정일 2022.12.12
  • 디지털공학(논리회로) 전가산기 설계
    에 따라 디코더의 출력을 알맞게 OR소자로 연결하여 Sum과 Carry를 구해내야 할 것이다.2. 설계우선 우리가 만들 회로의 메커니즘을 분석해보면, Bus Input에 입력된 10 ... 디지털공학 설계과제1‘s Complement Number4bit Full Adder과 목 명 :이 름 :학 번 :교 수 :제 출 일 : 2009. 5. 201. 분석제작해야할 ... 회로는 1의 보수 계산이 가능한 전가산기이다. 제한조건은,3 to 8 Decoder, 2 to 1 MUX, MUX Selection Switch, NOT, AND, OR 게이트
    리포트 | 4페이지 | 2,000원 | 등록일 2009.12.06 | 수정일 2022.12.12
  • [디지털논리회로] StopWatch verilog로 설계하기
    [디지털논리회로실험 기말프로젝트]Stopwatch VHDL로 만들기(due date:)1. Verilog HDL 소스 및 주석module StopWatch(led1, led2
    리포트 | 8페이지 | 2,000원 | 등록일 2008.12.07
  • [논리회로] 순차회로논리회로 설계
    핸드폰을 만들자...저는 핸드폰 조작법에 대해 순차회로설계 하였습니다.처음에는 상태 4개로만 만들었는데, 상태 4개는 너무 단순하고 핸드폰의 많은 메뉴를 표현했다고 하기 ... 에는 다소 무리가 있어서, 입력변수 2개 상태변수 3개 출력을 5개로 벨소리 크기를 조절할 수 있으며, 벨 / 진동을 선택할 수 있는 회로를 만들었습니다◈ 입력00 ☞ 메뉴/확인 키01 ... 게 되면 원으로 표시한 부분과 같이 오류가 발생합니다.오류의 발생을 없애기 위해서 그리고 한 주기에 하나의 입력이 들어가야지만 회로가 제가 원하는 순서로 작동되기 때문에 입력이 두
    리포트 | 8페이지 | 1,000원 | 등록일 2004.12.01
  • 논리회로설계실험_4조_실험일(080603)_보고서
    HDL Codelibrary IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity seven_seg is ..
    리포트 | 3페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080506)_보고서
    CounterHDL Codelibrary IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter is Port ( clk : in S..
    리포트 | 5페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080416)_보고서
    Decoder (Data flow)HDL Codelibrary ieee; use ieee.std_logic_1164.all; entity decoder_data is port(X : in std_logic_vector(2 downto 0);..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080401)_보고서
    Half - adderlibrary IEEE; use IEEE.std_logic_1164.all; entity half_adder is port(x,y:in std_logic; s,c:out std_logic); ..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 4담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 171 ... 도록 하자. 곱셈기는 원래 10진법으로 바꿔서 계산을 한 다음 2진법으로 바꾸는데 2진법끼리 곱셈을 하는 곱셈기를 설계해보도록 하겠다.2. Design(1)어떠한 회로설계할 것인가 1 ... S2 상태로 간다.(2)어떻게 이 회로를 구성할 것인가1) VHDL 코드를 구성하는 기본 설정(1)설계 사항2)1)8BIT ALU-명령어 테이블을 기반으로 설계한다.-연산의 캐리
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • [논리회로] State machine을 이용한 Serial adder 설계
    설계과제명State machine을 이용한 Serial adder 설계주요기술용어(5~7개 단어)Shift Register, Full Adder, Decoder ... 한 Serial Adder를 설계한다.2. 수행 내용 및 방법 모두 다 문제를 이해하고 State Table을 작성하여 State Diagram으로 표현해보고 여기서 Input ... 과 Output Equations를 나타내어보고 이에 맞는 Flip-Flop과 Gate들을 추가하여 사용하고 최종적으로 최소한의 Gate들로 FSM과 전체적인 회로를 완성하게 되어 최적
    리포트 | 6페이지 | 3,000원 | 등록일 2009.07.18
  • 디지털논리회로실험 예비리포트 3. 부울대수 및 조합논리회로설계
    디지털논리회로 실험 자필 예비리포트3. 부울대수 및 조합논리회로설계다운 받아보시면 아시겠지만모든 예비리포트가 10점 만점에 10점 또는 11점(가산 1점 포함)짜리입니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.11.08
  • 논리회로 설계 -베스킨라빈스31-
    최대한 기본 구도를 살리기 위해 주력.*초기 구상 회로도과연 구상한 회로를 어떻게 구현해 낼 것인가.설계 초안BCD to 7seg DecoderMod16 to 10 Counter초기 ... 다!역할 분담은 철저히!초기 구상 및 진행방향 설정실제 회로 설계구현 및 이론적 배경 연구오류점검 및 직각 배선담당*세부일정준비에서부터 완성까지!팀프로젝트 세부일정날 짜내 용세 부 사 ... , 게이트 등)청 계 천 전자상가한규범 / 박종선11. 29(토)회로구현이론적으로 설계회로도와 소자들을 이용해회로구현 (턴 체인져, Mod-16카운터 → Mod-10카운터)2공
    리포트 | 17페이지 | 1,500원 | 등록일 2009.05.31
  • [컴공과 논리회로]논리회로설계
    마노의 논리회로 설계 6장 답안지 입니다.
    리포트 | 8페이지 | 1,000원 | 등록일 2005.06.22
  • [응용논리회로설계]4x1 MUX
    1. 목표 (1) if 문 이용 (2) case 문 이용 (3) when~else 문 이용 (4) with~select 문 이용2. 소스코드 (1) if 문 이용library ieee;use ieee.std_logic_1164.all;entity mux4_..
    리포트 | 6페이지 | 1,000원 | 등록일 2009.04.29
  • [논리회로] 논리회로설계(Combinational Logic)
    Report제목 : Experiment Two과목명:논리회로 설계담 당:최재영 교수님제출일:Combinational Logic 의 소개INTRODUCTION?실습의 목적. ... - boolean, switching, algebra, combinational logic의 개념을 이해한다.- logicworks를 이용한 combinational 회로를 디자인 ... 하고, 수행한다..- combinational 회로에 대한 이해뿐만 아니라 modular디자인 처리의 더 나은 이해를 한다.?combinational 네트워크와 sequential
    리포트 | 7페이지 | 1,000원 | 등록일 2005.06.01
  • 11_1학기_논리회로설계실험 프로젝트 결과보고서
    하려고 한다. 이를 위 해서 플레이어간 밸런스를 잘 맞추고 다양한 변수를 게임 내에 갖출 것이다.세 번째로 논리회로 설계 때 배운 내용들 내에서 설계하고자 하였다.결론 적으로 보 ... VHDL Project 브루마블 설계9조2011. 6. 14차 례1. 서론가. 프로젝트 수행 동기 및 목표 ... ······················································ 32. Blue marble 설계 계획가. Blue marble이란
    리포트 | 52페이지 | 3,000원 | 등록일 2011.08.10
  • 논리회로설계실험 OR gate 코드와 Half Adder 코드
    1.HDL 코드전가산기를 구성하기 위해 필요했던 이전시간에 작성했던 OR gate 코드와 Half Adder 코드 도 첨가했습니다.※OR GATElibrary IEEE;use IEEE.std_logic_1164.all;entity or_gate is port(a : i..
    리포트 | 5페이지 | 3,000원 | 등록일 2010.12.22
  • vhdl을 이용한 4비트 가감산기 설계(논리회로설계실험)
    1. PurposeFull Adder 4개를 직렬로 연결하여 4-bit 감가산기를 설계한다. 설계를 통하여 감가산기 입력에 따른 출력 특성을 이해할 수 있다.2. Problem ... Statement4비트 감가산기를 설계하기 위해서 먼저 전가산기를 설계할 수 있어야 한다. 전가산기 설계 후 아래 그림과 같이 4개를 직렬로 연결하고, 모드입력 M에 따라 가산 ... /감산 모드를 결정해야 한다. (M : 0 -> s = x + y, M : 1 -> s = x - y)4비트 감가산기를 설계하기 이전에 전가산기를 설계하였다. 자일링스
    리포트 | 9페이지 | 2,000원 | 등록일 2009.11.12
  • 논리회로, 프로세서구조 창의적인 설계하기
    다 . 본 설계는 왼편의 눌러야 하는 위치와 음계를 각 LED 를 통하는 디코더와 7Segment 로 통하는 디코더를 설계하여 도시한다 . S cale of the guitar ... Do Re Mi Fa Sol Ra Ti Do~인코더를 설계해볼까 ? … 실패로 끝나다 . Concideration 문제 1) 직접 설계하게 될 디코더 부 문제 2) 본격적인 인디
    리포트 | 30페이지 | 1,500원 | 등록일 2009.05.31
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 12일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:02 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감