• AI글쓰기 2.1 업데이트
  • 통합검색(9,760)
  • 리포트(8,373)
  • 자기소개서(857)
  • 시험자료(300)
  • 방송통신대(170)
  • 논문(51)
  • 서식(5)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로" 검색결과 1,341-1,360 / 9,760건

  • 디지털공학실험 8장 논리회로의 간소화 (결과)
    만 연결이 되어 있고, 다른것은 연결이 되어 있지 않다면 상식적으로는 Low레벨이 들어가야 하는데 이 회로는 마음대로 동작을 하게 된 것이다. 아마도 TTL논리레벨을 제대로 맞춰주 ... 은 식:X = D ( B + C )실험순서 5: 무효 BCD-코드 감지기 회로 :표 8-3 실험순서 6에서 구성한 무효 BCD-코드 감지기에 대한 진리표입 력출 력D C B AX0 ... 으로 회로를 작성 할수 있으면 된다. 1학기때에 디지털공학에서 가장 중요하게 배웠고, 시험에까지 나왔던 것이 카르노맵에 관한 것이었다. 그래서인지 카르노맵을 사용하는 것은 생각
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 2,500원 | 등록일 2010.04.06
  • 아주대 논리회로실험 실험결과9 D/A & A/D Converter (DAC & ADC)
    회로 구성 사진Rf=4.5KΩ 일때 출력 파형Coment : 이번 실험은 D/A Converter 실험으로 디지털의 1과0의 값을 아날로그신호로 바꿔주는 회로 를 구성하여 동작 ... 을 확인해 보고 어떤원리로 이러한 기능이 가능한지 확인해 보는 실험이었다.위의 회로에서 보듯이 우리는 7490,7404,7405,741(OP-AMP) 소자를 사용하여 회로를 구성 ... 해 보았다.회로의 원리를 보면 7490소자에서 카운트되는 수가 7404소자와7405소자를 거쳐 OP-AMP 소자인 741에 서 증폭되어 파형이 출력되는 원리인데 7405소자는 OPEN
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [응용논리회로설계]4x1 MUX
    1. 목표 (1) if 문 이용 (2) case 문 이용 (3) when~else 문 이용 (4) with~select 문 이용2. 소스코드 (1) if 문 이용library ieee;use ieee.std_logic_1164.all;entity mux4_..
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2009.04.29
  • 논리회로, 프로세서구조 창의적인 설계하기
    P erforming C reativeHow? How? How? Why? Creative Fresh Necessity TimeS pecifi C ation처 음 기타를 접할때 필수코스 ! 음계 (scale) 이 부분에서 많이들 좌절하지만 포기하지 마세요 ! P lay ..
    Non-Ai HUMAN
    | 리포트 | 30페이지 | 1,500원 | 등록일 2009.05.31
  • 논리회로설계실험 7-Segment 제어기 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity seg7 isport( clk_4m, rstb : in s..
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • [논리회로] 디지털논리
    +x'y+y=y3-3. 다음 진리표로부터 출력 F1과 F2의 논리식을 구하고 논리합성을 수행하라.X Y Z{ F}_{1 }{ F}_{2 }0 0 00 0 10 1 00 1 11 0 ... + xyF2: x'y'z' + x'y'z + xy'z' + xy'z' = x'y'(z+z') + xz'(y+y') = x'y + xz'※논리합성F1 + F2: x'z + xy + x
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2002.10.06
  • 논리회로 실험-BASIC GATES 예비보고서
    된 Logic 회로에서의 Boolean Equation과 De Morgan의 이론에 대하여 알아본다.Ⅱ. 이 론1) AND GATE(연언)A ? B ... ) Boolean Eauation (불린 연산, 논리 연산)① AND, OR, NOT, NAND, NOR, XOR등을 불린 연산자라고 하며 이를 사용하여 수행되는연산들을 불린 연산이 ... 된다.③ H(T : TRUE 또는 1)와 L(F : FALSE 또는 0)의 두 값만 존재한다.④ 진리표를 이용하여 연산의 결과 값을 예측할 수 있다.⑤ 인터넷의 검색엔진이나 논리
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2010.03.20
  • 고등학교> 디지털논리회로 논리게이트 지도안(갑종 지도안 5단계)
    를 실현하고, 국가 산업 발전에 기여할 수 있는 유능한 기능?기술인의 양성이 목적이다. 이에 따라 전자통신 분야에서의 '디지털 논리회로'는 필수 전공과목으로 디지털 논리에 관한 기본 ... 이론과 이를 이용한 디지털 회로의 설계 등의 기술을 익힐 수 있도록 구성된 이론?실습 통합 과목이다. 본 교재는 불 대수 등 디지털 논리 기초 이론을 바탕으로 조합 논리 회로와 순서 ... 논리 회로 등의 동작 원리를 습득하여 디지털 응용 회로의 설계, 제작 관련 실무에 활용할 수 있도록 구성되어 있다.본 단원에서 불 대수의 기본 개념과 기본연산, 이와 관련한 실제
    Non-Ai HUMAN
    | 리포트 | 43페이지 | 1,000원 | 등록일 2010.05.22 | 수정일 2019.10.17
  • [논리회로실험] DAC and ADC Conv (결과)
    1. 실험목표1) D/A 컨버터와 A/D 컨버터 회로의 구성과 동작 원리에 대해 이해한다.2. 실험 장비 및 부품1) 저주파 함수 발진기2) DC 전원 : ±15V(2개)3 ... ) 논리 소자 : 7490, 7405, 74044) OP amp : 7415) 저항 : 500Ω(가변 저항), 11KΩ(2개), 1.5KΩ(5개), 10.5KΩ, 22.6KΩ, 4.5K ... Ω, 4.7KΩ6) 오실로스코프3. 실험방법 및 결과(1) D/A converter1) 그림 4의 회로를 구성한다.그림 4. D/A converter ? Decade BCD2
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,500원 | 등록일 2009.03.20
  • 아주대 논리회로실험 실험예비9 D/A & A/D Converter (DAC & ADC)
    으로 변환하여 출력하는 회로이다. 아날로그 출력 전압 Vo는 디지털 입력 전압의 유무, 즉 디지털 입력 비트의 유무에 따라 바뀌는 것으로 아날로그 출력 전압 Vo는 디지털 입력 비트 ... 된다.이때 사용되는 resistor network에는 weighted resistor와 ladder type resistor가 있다.weighted resistor의 회로를 사용 ... 하는 경우에 전압식은 아래와 같다 (VR은 reference voltage).--- (1)이 회로는 conversion 전압 오차가 없으나 저항 값이 정밀해야 한다는 어려움이 있
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [논리회로실험] 실험2. 드모르간의 법칙 예비보고서
    실험 2. DeMorgan's Theorem1. 실험 목적1. 드모르강법칙을 이용하여 부울 논리식을 수정하여 간단하게 만든다.2. CMOS를 사용하여 논리회로를 구성 ... = +5V, 7번 핀에 Vcc = 0V를 인가한다.다음 그림들에 나와있는 회로를 구성하고 각 점에서 측정되는 전압을 그림 아래에 있는 표에 기입한다. 그리고 그림에 나와있는 각 ... 점에 대하여 그 점에 해당하는 부울 대수식을 기입한다. 예를 들면 첫 번째 회로의 U점에는, X점에는 A+B를 기입해야 한다.그림 2-1표 2-1ABUVXYZ00011011그림 2
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2010.12.05
  • [논리회로실험] DAC and ADC Conv (예비)
    Access Memory)의 명칭의 의미를 확인한다.2. 실험 장비 및 부품1) 저주파 함수 발진기2) DC 전원 : ±15V(2개)3) 논리 소자 : 7490, 7405, 74044 ... 이론◈ Converter Analysis Parameter[1]. Accuracy(정확도)저항회로에 쓰인 정밀도와 기준전압의 정확도와의 함수인데 이것은 실제 출력 전압이 이론적 값 ... 이다.② 정밀도의 결정 요인0 변환기를 구성하는 회로소자의 정밀도0 공급전원의 안정도[2]. Resolution(분해도)변환기의 출력에서 식별할 수 있는 두 변환값의 최소차이0
    Non-Ai HUMAN
    | 리포트 | 19페이지 | 1,500원 | 등록일 2009.03.20
  • 11_1학기_논리회로설계실험 프로젝트 결과보고서
    하려고 한다. 이를 위 해서 플레이어간 밸런스를 잘 맞추고 다양한 변수를 게임 내에 갖출 것이다.세 번째로 논리회로 설계 때 배운 내용들 내에서 설계하고자 하였다.결론 적으로 보
    Non-Ai HUMAN
    | 리포트 | 52페이지 | 3,000원 | 등록일 2011.08.10
  • 논리회로 프로젝트 ; 전자 메트로놈(VHDL)
    LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY mux ISPORT( s : IN STD_LOGIC_VECTOR (2 downto 0);q :INSTD_LOGIC_VECTOR (..
    Non-Ai HUMAN
    | 리포트 | 5,000원 | 등록일 2007.06.15
  • 논리회로[예비레포트][결과레포트]
    (HA : Half Adder)반가산기는 [그림 1]과 같이 2개의 1 Bit 2진수 A, B를 더하여 그의 합(S)과 자리올림수(C)를 출력하는 논리 연산회로이다.반가산기의 진리표 ... , 논리식, 논리회로는 다음과 같다.< 그림 1. 반가산기의 블록선도 >InputOutputABSC*************101(a) 진리표(c) 논리회로도1-3 전가산기(FA ... 하는 3개의 비트를 가산할 수 있는 논리연산회로이다. 전가산기의 진리표, 논리식, 논리회로는 다음과 같다.< 그림 2. 전가산기의 블록선도 >InputOutputABCSCo
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2007.05.11
  • [논리회로실험] 가산기와 감산기 (예비)
    의 특징과 논리회로 설계 방식을 설명하시오. 또한 이 두 방법을 이용하여 4-bit serial adder와 4-bit parallel adder를 각각 구성하시오.(1) 병렬가산기 ... 을 시키려면 많은 시간이 걸린다.4) 이론의 반감산기의 진리표를 참고하여 부울 함수를 구하고 논리 회로를 구성하시오.반감산기의 진리표입 력출 력XYBD0000011110011100반 ... 감산기 부울함수B=X prime BULLET Y#D=X prime Y+XY prime5) 이론의 전감산기의 진리표를 참고하여 카노맵을 통해 부울 함수를 구하고 논리 회로를 구성하시
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,500원 | 등록일 2009.03.20
  • [디지털]디지털논리회로 실험
    1-21]과 같은 NOT Gate 회로를 구성하고 입력 A의 변화에 따른 출력 Y의 상태를 [표 1-7]에 기록하라.3. 사용 기자재 및 부품? 논리 실험기? 오실로스코프 ... 2입력 Inverter)? 저항: 680Ω, 220Ω? 콘덴서: 0.01μF제 2장 UNIVERSAL 게이트 (NAND, NOR)1. 실험 목적논리 회로에서 가장 많이 사용 ... 되는 유니버셜 게이트인 NAND, NOR Gate의 기본 논리 동작 및 특성을 실험을 통하여 이해한다.2. 실험 과정, 회로도 및 타이밍 다이어그램(1) NAND Gate① 2입력
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 1,500원 | 등록일 2006.03.22
  • 디지털논리회로실험 - 제 5장 기본연산회로
    조사2.1 반가산기(HA : Half Adder)반가산기는 [그림 A]와 같이 2개의 1Bit 2진수 A, B를 더하여 그의 합(S)과 자리 올림수(C)를 출력하는 논리 연산회로이 ... 을 구하였으므로 반가산기의 논리회로를 구현하면 아래에 [그림 A]와 같다.[그림 A] 반가산기 회로A BSC2.2 전가산기 (FA : Full Adder)전가산기는 [그림 A ... ]와 같이 이전 단에서 발생한 자리올림수 (Ci)를 포함하여 2개의 1Bit 2진수 A, B를 더하여 그의 합(S)과 자리올림수(Co)를 출력하는 3개의 비트를 가산할 수 있는논리연산회로
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 1,500원 | 등록일 2008.11.28
  • [논리회로설계실험]반가산기와 전가산기 설계(Half Adder and Full Adder 설계 보고서)
    반가산기와 전가산기의 차이점인 자릿수를 입력하고 출력 할 수 있는 변수의 차이였는데 이를 이해하니 구현하는 것 자체는 어렵지 않았다. 첫 번째 실험이었던 OR-Gate를 만들면서 수많은 시행착오를 겪었는데 그때 터득한 Port map을 이용한 Entity를 다른 E..
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,500원 | 등록일 2015.07.06
  • 논리회로
    Ⅲ. 논 리 회 로1. 불대수와 논리회로2. 논리게이트3. 조합 논리 회로4. 덧셈과 뺄셈 회로5. 해독기와 부호기6. 멀티플렉서와 디멀티플렉서7. 코드 변환기와 비교기[목차]1 ... . 불대수와 논리회로학습목표디지털 신호와 불 대수와의 관계를 설명할 수 있다.불대수의 공리와 기본 정리를 활용할 수 있다.불 대수식의 해를 구할 수 있다.불 대수의 기본 법칙 ... 항001101010011A'B'A'BA B'A BA+BA+B'A'+BA'+B'(5) 논리 함수의 간소화간소화 하는 이유는 보다 간단한 회로를 설계하기 위해서이다.(게이트나 기판
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 1,000원 | 등록일 2001.04.11
  • 콘크리트 마켓 시사회
  • 전문가요청 배너
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 11월 24일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감