• 통합검색(485)
  • 리포트(446)
  • 자기소개서(35)
  • 시험자료(3)
  • 논문(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로 vhdl" 검색결과 381-400 / 485건

  • VHDL을 이용한 7-Segement Top 코드 및 시뮬레이션
    VHDL실습 4주차 레포트7-segement 최종 Top담당교수 :담당조교 :전자공학과세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 ... (DP)이 붙는 경우도 있다.▶진리표 대수식 및 회로도?세그먼트의 진리표displayAYA(0)A(1)A(2)A(3)abcdefg ... ‘를 표현하기 위해서 a,c,d,e,g에는 불이 들어오고 b와f에는 불이 들어오지 않는다. 바꿔서 표현하면 a,c,d,e,g는 논리값 1을 가지고 b와f는 논리값 0을 가지는 것이
    리포트 | 8페이지 | 2,000원 | 등록일 2010.12.27
  • 비교기 예비보고서
    실험제목: 비교기(예비보고서)- 목 차 -1. 예비조사 및 실험 내용의 이해1.1 비교기란?1.1.1 1비트 비교기1.1.2 2비트 비교기1.2 비교기의 특징 (논리기호)1.2 ... .1 1비트 비교기1.3 비교기의 동작원리 (진리표)1.3.1 1비트 비교기1.3.2 2비트 비교기1.4 구동방식 (VHDL)1.4.1 1비트 비교기1. 예비조사 및 실험내용의 이해 ... 1.1 비교기란?n비트 비교기는 n비트 수 X가 n비트 수 Y와 같은지, 더 큰지, 더 작은지를 결정하는 회로이다. 따라서 n비트 비교기는 2개의 수를 비교하는데 사용될 수 있
    리포트 | 6페이지 | 1,000원 | 등록일 2009.05.03
  • VHDL을 이용한 T-플립플롭의 설계 ( 소스파일 )
    VHDL을 이용한 클럭입력을 갖는 T-플립플롭의 설계 입니다. 인터페이스..port( cls, clk, t : in std_logic; q
    리포트 | 1,000원 | 등록일 2008.12.04
  • VHDL을 이용한 JK-플립플롭의 설계 ( 소스파일 )
    VHDL을 이용한 클럭입력을 갖는 JK-플립플롭의 설계 입니다. 인터페이스..port( pre, cls, clk, j, k : in std_logic; q
    리포트 | 1,000원 | 등록일 2008.12.04
  • 4비트 전가산기 감산기 설계
    Waveform 이용2 장 . 관련 기술 및 이론 전가산기 (FULL ADDER) - 1 비트의 2 진수를 3 개 더하는 논리회로 - 3 개의 입력과 출력으로 구성 ( 입력 : x, y, c ... 1 장 . 설계 ( 실험 ) 배경 및 목표 VHDL 을 이용한 4bit 전가산기 설계 - 설계방법 : Behavioral Modeling - 시뮬레이션 방법 : Test ... 1111 0 0010 0111 1 1011 0 0111 0101 1 0010 15 장 . 토의 이번 설계 ( 실험 ) 은 지난 설계의 연장선으로 VHDL 을 이용하여 4 bit 전가산
    리포트 | 22페이지 | 2,000원 | 등록일 2010.09.09
  • VHDL을 이용한 Simple up down counter설계
    library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity up_down_counter isport(cout : out std_log..
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.18
  • FPGA를 이용한 신호등구현
    되며, 전공정을 다 거쳐서 제조된다는 점에서 완전주문형 설계와 유사하다.? 표준 셀은 동작과 성능이 이미 입증된 디지털 논리 기능 혹은 아날로그 회로 기능이 소프트웨어 파일의 형태로 이름 ... 프한 학교 교육에서 이론적인 교육환경을 벗어나 이론에서 얻은 결과를 직접 눈으로 확인 할 수 있도록 하는 환경을 제공하기 위한 디지털 논리 회로 설계 실습 장비이다.? 산업 현장 ... 을 통해 얻을 수 있으며 이와 아울러 학생들에게 디지털 논리 회로 설계에 대한 흥미를 유발 시킬 수 있다.? 본 제품은 기존의 디지털 논리 회로 설계 실습 장비에서의 단점으로 지적
    리포트 | 52페이지 | 1,500원 | 등록일 2007.08.08
  • 논리회로 설계실험 가산기
    4-bit 가산기 설계1. Introduction1) 비트의 덧셈, 뺄셈과 관련하여 반가산기, 전가산기, 보수(complement) 이론 등을 확실히 이해한다.2) 조합논리회로 ... 의 기본이 되는 4비트 감가산기의 동작원리를 이해한다.3) VHDL simulation을 위하여 Model Technology/Mentor Graphics의 “ISE WebPACK ... 및 ModelSim”을 사용법을 익힌다.4) 4비트 감가산기를 VHDL언어로 구현 할 수 있다.2. Problem Statement① Describe what is the
    리포트 | 8페이지 | 1,000원 | 등록일 2009.07.10
  • 컴퓨터의 이해 과목 주요자료정리
    의 실제 시간 관계를 나타내는 그래프디지털 회로 : 이산적 전압 레벨에 근거한 트랜지스터로 구성된 회로, 논리 게이트로 구성, 디지털회로,디지털시스템, 논리 용어를 함께 사용1 ... ) 조합논리회로 : 현재의 출력은 현재의 입력에 의해서 결정2) 순차논리회로 : 현재의 출력은 현재의 입력과 현재의 상태에 의해서 결정부울대수(Biilean Algebra) : 디지털 ... 회로를 다루는 수학적인 도구 ,{(1,0),Var,(not,and,or),공리 및 항등식)}디지털 회로 : 이산적 전압 레벨에 근거한 트랜지스터로 구성된 회로, 논리 게이트
    리포트 | 10페이지 | 1,000원 | 등록일 2010.04.07 | 수정일 2015.11.03
  • 디코더인코더 예비보고서
    (22)개의 출력으로 구성되며, 2비트의 2진수가 입력되면 4개의 출력 중에서 그에 대응하는 1개의 출력선을 선택해 주는 조합 논리 회로디코더는 2진 코드 형태의 입력을 받아들여 ... Bit의 BCD Code를 입력으로 받아들여 10진수로 해독한후 출력하는 BCD to 10진 디코더의 블록도, 진리표, 논리회로는 다음과 같다.Decimal DigitBCD ... 와 반대로 4개의 입력과 2개의출력으로 구성. 4개의 입력 중에서 하나가 선택되면 그에 해당하는 2진수가 출력되는 조합 논리 회로인코더는 우리가 일상적으로 사용하는 10진수 등
    리포트 | 7페이지 | 1,000원 | 등록일 2009.05.03
  • MIPS Arithmetic Logic Unit 제작 (VHDL을 이용한 MIPS ALU)
    의 2비트는 Operation의 목적으로 사용된다.-- vhdl 파일 구성은micro_09(메인 entity 파일) --- micro_09_sub (1비트 ALU : 0) ... 비트 ALU : 30)- micro_09_31 (1비트 ALU : 31번째)-- 이외의 내용은 책 속의 기본 내용과 동일.◆ VHDL Code-- ::: micro_09.vhd
    리포트 | 7페이지 | 1,500원 | 등록일 2008.12.26
  • VHDL 기초 이론 내용요약
    보단느 하드웨어에 가깝게 표현가능.3)구조적 모델링-가장 하드웨어에 가까운 하위레벨의 표현방법.4)모델링의 예-AND, OR 게이트의 모델링논리기호 AND, OR를 VHDL로 문법 ... VHDL 기초 이론1.VHDL의 정의VHDL이란 BHSIC Hardware Description Language의 첫 자를 딴 이름이다. 이것은 하드웨어를 언어를 통해 빠른 속도 ... 로 IC화 한다는 뜻이다. 따라서 다른 소프트웨어의 설계 언어가 아닌 하드웨어를 구성하는 표현한다는 의미이다. 이전의 CAD 툴과 달리 보다 쉽고 간편하게 회로를 구성하고 표현
    리포트 | 12페이지 | 2,000원 | 등록일 2008.12.29
  • VHDL MUX and DEMUX(vhdl prelab 입니다)
    )그림는 2 입력 MUX의 논리회로논리식을 표현하고 있다. 이와 같은 회로논리식을 이용한 VHDL 표현을 자료흐름 표현이라 한다. 그림의 동작은 신호 Sel=’0’이 ... . Purpose of the Experiment- 조합논리회로를 이용한 MUX를 이해하고 설계를 한다.- 조합논리회로를 이요한 DEMUX를 이해하고 설계를 한다.2. Theory(Pre ... 를 이용하여 선로당 24개 논리적인 채널로 다중화하고 이를 역다중화하여 사용자로 하여금 실시간 전화통화를 가능하게 한다. 이러한 통신의 다중화 기술에 사용되는 디지털 논리회로로는 다중
    리포트 | 16페이지 | 2,000원 | 등록일 2008.09.28
  • [VHDL] Reset, Preset 입력을 갖는 D플립플롭
    플롭의 동작은 매우 간단하다. Q는 PGT(상승천이)의 CLK 입력이 발생하였을 때, D 입력의 상태와 같은 값을 출력한다. 다시 말해서, PGT가 발생하는 순간의 D 논리
    리포트 | 3페이지 | 1,000원 | 등록일 2008.12.02
  • VHDL을 이용한 D-플립플롭의 설계 ( 소스파일 )
    VHDL을 이용한 클럭입력을 갖는 JK-플립플롭의 설계 입니다. 인터페이스..port( pre, cls, clk, d : in std_logic; q : out s
    리포트 | 1,000원 | 등록일 2008.12.04
  • VHDL을 이용한 D-플립플롭의 설계 ( 소스파일 )
    VHDL을 이용한 클럭입력을 갖는 D-플립플롭의 설계 입니다. 인터페이스..port( d, clk : in std_logic; q : out std_logic
    리포트 | 1,000원 | 등록일 2008.12.04
  • VHDL을 이용한 JK-플립플롭의 설계 ( 소스파일 )
    VHDL을 이용한 클럭입력을 갖는 JK-플립플롭의 설계 입니다. 인터페이스..port( clk, j, k : in std_logic; q : out std
    리포트 | 1,000원 | 등록일 2008.12.04
  • VHDL을 이용한 스탑워치(stop watch) 구현
    LCD 출력 기능 작동ⓒ Pin mapping은 다음과 같이 구성하였다.3. Sources1) VHDL Source* Watch modulelibrary IEEE;use IEEE
    리포트 | 29페이지 | 2,000원 | 등록일 2009.11.25
  • 반가산기 및 전가산기 예비보고서
    ; H.A)1.1.2 전가산기(full-adder ; F.A)1.2 가산기의 특징 (논리기호)1.2.1 반가산기(half-adder ; H.A)1.2.2 전가산기(full-adder ... ; F.A)1.3 가산기의 동작원리 (진리표)1.3.1 반가산기(half-adder ; H.A)1.3.2 전가산기(full-adder ; F.A)1.4 구동방식 (VHDL)1.4 ... ; H.A)2개의 2진수 A와 B를 더한 합(sum)S와 자리 올림수(carry) C를 얻는 회로를 반가산기 라고 하며, 진리값표에서 보는 바와 같이 자리올림수 C는 A와 B
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.03
  • 비교기 결과보고서
    실험제목: 비교기(결과보고서)- 목 차 -1. 예비조사 및 실험 내용의 이해1.1 비교기란?1.1.1 1비트 비교기1.1.2 2비트 비교기1.2 비교기의 특징 (논리기호)1.2 ... .1 1비트 비교기1.3 비교기의 동작원리 (진리표)1.3.1 1비트 비교기1.3.2 2비트 비교기1.4 구동방식 (VHDL)1.4.1 1비트 비교기2. 실험내용 및 결과0.0 ... 실험내용의 이해1.1 비교기란?n비트 비교기는 n비트 수 X가 n비트 수 Y와 같은지, 더 큰지, 더 작은지를 결정하는 회로이다. 따라서 n비트 비교기는 2개의 수를 비교하는데 사용
    리포트 | 20페이지 | 1,500원 | 등록일 2009.05.03
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 18일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감