• 통합검색(485)
  • 리포트(446)
  • 자기소개서(35)
  • 시험자료(3)
  • 논문(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로 vhdl" 검색결과 421-440 / 485건

  • FPGA 구조와 응용
    그림과 같은 과정을 거치게 된다. 초기단계는 논리회로에 대한 회로를 작성하는 일로써 스케매틱 편집기, 부울식, 상태도 또는 VHDL등과 같은 언어를 따로 또는 혼합하여 사용할 수 ... 형식은 여러개의 설계파일이 하나의 파일로 병합되어 사용되는데 이 회로 파일을 벤더가 제공하는 FPGA 칩의 적합한 논리 블록 및 입출력 블록으로 분할하는 과정을 거친 후 적당 ... 한 블록에 논리 블록을 위치시킨 후 필요한 연결을 한다. 구현된 회로의 성능과 기능은 시뮬레이터 등을 사용하여 검증된다. 최종적으로 비트 맵 파일을 생성한 후 다운로드 케이블을 통하
    리포트 | 20페이지 | 2,000원 | 등록일 2007.03.23
  • 제 9장 (결과) 연산 회로 설계 실험
    에 비트의 조합에 따라 결정될 carry를 계산하기 위한 추가적인 논리회로가 필요하다.② 4비트 덧셈기/뺄셈기의 최대 경로 지연을 찾아서 이것을 클록에 의해서 동작시켰을 때의 가능 ... 연산 회로 설계 실험 결과 보고서표 9-4 4비트 덧셈기/뺄셈기의 진리표입 력출 력AiBiAdd/SubtractS3S2S1S0오버플로우34+011102-3-01010-42 ... 1111:F=A-4'b0001;endcaseendcaseendendmodule① 자리 올림 예견법(carry look ahead)의 장단점을 조사하고 위의 회로와 비교하시오.자리 올림
    리포트 | 7페이지 | 1,500원 | 등록일 2007.11.03
  • 7segment
    . 05. 19.디지털논리- 7 Segment -/Problem. 7-Segment■ 문제 개요VHDL을 이용하여 7-Segment를 나타내어라.■ 문제분석 및 풀이방법▶개요7 ... 상태천이도, 논리표 및 회로도 등을 나타내었다.상태천이도논리표K-map회로도▶2자리 7-Segment 전체 블록도■ 회로도ㆍ7-Segment 전체회로도ㆍ첫째자리 회로도ㆍ둘째자리 ... Digital Logic디지털 논리-7 segment -과목명 : 디지털논리교수님 : 김명규 교수님학 과 : 컴퓨터정보공학과이 름 : 2006122148 송정호제출일 : 2008
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • VHDL M bit 가산기와 비교기(post lab 입니다) vhdl code
    ) HYPERLINK \l "reference" # 참고문헌1. 실 험 소 개 (Introduction)1) Purpose of the Experiment이번 실험은 조합논리회로를 이용한 가산기 ... (adder)와 비교기(comparator)의 동작을 이해하고 설계하는 것이다. 또한 M bits 가산기와 비교기의 VHDL Code에 대한 실제 동작을 관찰하도록 한다.2 ... 았다. 정말 허무하다 싶을 정도였지만, 실험결과를 제대로 얻었고, 또한 Adder기와 Comparator의 기본적인 회로에 대해 다시 한번 제대로 알 수 있었던 좋은 기회였
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • [응용논리회로설계]4x1 MUX
    1. 목표 (1) if 문 이용 (2) case 문 이용 (3) when~else 문 이용 (4) with~select 문 이용2. 소스코드 (1) if 문 이용library ieee;use ieee.std_logic_1164.all;entity mux4_..
    리포트 | 6페이지 | 1,000원 | 등록일 2009.04.29
  • LG전자 MC SW 합격 자소서입니다.
    를 블루투스로 전송하여 Atmega128 Processor로 받아 값의 구간을 나누어 상황에 맞게 모터를 제어하도록 하였습니다.2. 디지털 회로에 대한 이해와 VHDL언어 습득- 이를 이용 ... 완성해 나갔습니다. 이는 곧, 좋은 학점으로 연결되었으며 뿐만 아니라, C언어의 특성에 대한 이해, 논리적 사고능력 향상으로 프로그래밍 실력과 자신감이 생겼습니다. 또한, 자신 ... 를 이용한 모듈을 구현하여 '샤프심 뽑기' 게임도 만들어 보았습니다.4. RF 공학 수업을 들으며 Analog 회로 설계- 2.4GHz 대의 영역에 100MHz 이상의 대역폭
    자기소개서 | 6페이지 | 3,000원 | 등록일 2012.11.01
  • 판매자 표지 자료 표지
    VHDL 설계-encoder
    ode_(8~0)std_logic_vector(3 downto 0)학번 입력3) Architecture - 실제 회로 동작 부분을 표현하며, 1개의 함수와 1개의 process로 구성 ... _logic (디코딩 된 값 중 입력값(num)에 해당하는 논리값(‘0’, ‘1’) 반환)4) Process - Architecture내부에서 정의되어 신호 및 변수들을 처리 ... encoder를 시뮬레이션하여 동작을 검증하기 위해 테스트벤치 코드를 작성해야 한다. 테스트벤치 또한 일반적인 VHDL 코드와 같은 구성을 가진다. 필요한 신호를 정의하기 위해 IEEE
    리포트 | 15페이지 | 2,000원 | 등록일 2012.07.12
  • [디지털논리회로]Digital clock design
    설계 포트폴리오학부(과)명전파공학과프로그램 명전파공학 프로그램성 명권명진학 번20001165교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 수김기만 ... diagram으로부터의 파형이 정확히 일치함을 볼 수 있습니다.4. 결론한 학기동안 디지털 논리 회로를 배워나가면서 힘든 점도 많았지만 많은 것을 배웠고 보람도 있었습니다. 마지막 4 ... (교수님)제 목Digital clock design1. 서론- 설계 목적① Digital clock의 동작 원리를 이해한다.② CAD 프로그램의 사용법을 익힌다.③ 설계된 회로
    리포트 | 10페이지 | 1,500원 | 등록일 2006.06.21
  • 전가산기 전감산기 결과보고서
    흐름 모델링, 구조적모델링, 동작적 모델링- 을 통해 반가산기를 만들어본다.1.2 모델링 방식?schemetic - 회로를 직접 설계하는 방법이다. 논리게이트를 불러와서 라 인 ... )을 (a xor b)한 sum과 (a and b)한 carry-자리 올림수의 두가지 출력을 나타내는 시스템이다.xilinx tool 을 이용한 네가지 구현방법 - 회로구성, 자료
    리포트 | 5페이지 | 1,000원 | 등록일 2008.06.22
  • Capstone Design
    . 완료 과제의 결과물설계도(회로도)시제품그림 3. LAY-OUT 화면그림 4 PCB기판으로 회로 제작[VHDL 소스]top.vhdtext_lcd.vhdps2.vhdrs232 ... .vhd1. 과제개발의 개요(1) 과제개발 요약교육용 FPGA 키트 (HBE-COMBO)를 이용하여 간단한 논리회로의 설계에서 통신용 IC(UART : universal ... asynchronous receiver/transmitter)를 설계한다.(2) 과제수행 과정① HBE-COMBO 트레이닝 키트 구입 후 간단한 논리회로 설계② UART 소스 분석③ 한백전자
    리포트 | 23페이지 | 8,000원 | 등록일 2007.01.09
  • VHDL M bit 가산기와 비교기(prelab 입니다)
    . Purpose of the Experiment이번 실험은 조합논리회로를 이용한 가산기(adder)와 비교기(comparator)의 동작을 이해하고 설계하는 것이다. 또한 M bits ... 가산기와 비교기의 VHDL 설계 표현에 대하여 공부하도록 한다.2. Theory(Pre Report)1. Design a 4-bit adder using two 2-bit ... VHDL codeslibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC
    리포트 | 16페이지 | 2,000원 | 등록일 2008.09.28
  • [디지털논리]Sequential Logic Circuit Design ; Synchronous Binary up-counter
    설계 포트폴리오학부(과)명전파?정보통신공학부프로그램 명전파공학 프로그램성 명권명진학 번20001165교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 ... ounter의 역할을 이해한다.② synchrnous type digital circuit의 설계과정을 이해한다.③ CAD 프로그램의 사용법을 익힌다.④ 설계된 회로를 이용한 시뮬레이션 ... - VHDL- Block diagram3. 설계 결과 및 검증Quartus_II Wave form file- VHDL 소스로부터 나온 타이밍- Block diagram 소스로부터 나온
    리포트 | 7페이지 | 1,500원 | 등록일 2006.06.21
  • [전자] VHDL
    도면을 입력하 는 기법의 논리회로를 설계해 왔으나 설계 과정에서 칩의 크기, 집적도, 수행시간, 시뮬레이 션등 고려해야할 사항들이 많아지며 이로인하여 설계가 복잡해지고 어려워짐에따라 ... 이러한 방법으로는 대규모의 논리를 통일적으로 설계 및 검증하기에는 한계가 있다. 그래서 회로도 입력을 대신하는 새로운 설계 기법, 하드웨어 기술언어에 의한 설계에 관심이 집중 ... 7월 VHDL을 위한 완벽한 소프트웨어 도구▷ 1987년 12월 IEEE-1076이라는 IEEE 표준 VHDL 탄생▷ 1991년 IEEE-1164발표 9개의 표준 논리 레벨 MVL
    리포트 | 5페이지 | 3,000원 | 등록일 2005.06.10
  • 엘레베이터 제어기 vhdl로 구현 단일 엘리베이터
    1. 실습주제-계절학기동안 배운 논리회로를 기반으로 HDL언어를 사용하여 우리 주변에 쓰이는 단일엘리베어터 제어기를 설계해본다. 기존 엘리베이터 제어기에없는장애물감지, 정원초과 ... 기 구현 머그까이꺼 쯤, 게다가 평소에 VHDL에 관심이 있어서 조금씩끄적거리고 있었던 터라 만만 하게 보고 준비를 별로 하지 않고 제출 날짜가 임박해서야 term 설계를 시작 했 ... 다 . 그.러.나. 그것은 나의 오산이었을을 깨달았다. 어느 정도 알고 있다고 생각했던 VHDL 구문도 생각도 나지 않고 엘리베이터도 할깨 너무많았다. 그래서 일단 엘리베이터 자체
    리포트 | 17페이지 | 1,500원 | 등록일 2008.02.26
  • VHDL을 이용한 디지털 회로설계
    한 합성 도구와 최적화 도구의 지속적 지원이 필요하다는 뜻이다.비효율적 회로의 생성 가능성 : VHDL로 설계한 후, CAD 툴이 합성한 논리회로는 사람이 직접 설계한 게이트 레벨 ... 레벨로 설계한다는 것은 엄청난 시간이 소비된다. 따라서 VHDL은 기본적으로 ASIC과 같은 대규모 집적 회로의 설계시 주로 사용되는 언어로서, 기존의 하드웨어 설계시 필요한 회로도 ... 가지 설계 기법을 적용할 수 있다. 즉 계층적 설계의 지원, 동기식/비동기식 설계 등이 가능하다.폭 넓은 기술 범위 : 시스템 레벨에서부터 논리회로 레벨까지 하나의 언어로서 모두
    리포트 | 21페이지 | 1,000원 | 등록일 2005.05.19
  • [공학]16비트 컴퓨터 설계 보고서
    디코더와 4X16타이밍 디코더5) 16비트 공통 버스6) 제어 논리 게이트들7) AC의 입력에 연결된 가산 논리 회로? 구성 요소-소프트웨어1) 9개의 레지스터의 입력을 제어 ... 가산 논리 회로를 제어하는 신호? 설계 방향“컴퓨터시스템구조(by Mano)” 교재는 위와 같이 크게 두 가지 구성요소로써 컴퓨터를 나누었다. 이번 설계는 지금까지 다루어왔 ... 던 VHDL 설계 과제들과 비교할 때 상당히 복잡한 과정의 설계이다. 그렇기 때문에 교재의 내용에 충실하여 설계에 임한다. 기본 설계의 전 과정은 교재에서 소개하는 흐름을 따른다.하드웨어
    리포트 | 23페이지 | 5,000원 | 등록일 2007.06.21
  • 아날로그신호와 디지털신호의 비교
    1.아날로그신호와 디지털신호비교아날로그 신호회로가 지시하는 전압이나 전류가 모든 정보에 대응시켜 생각 미소한 잡음 등의 혼입도 정보에 영향을 주기 때문에 고도한 회로기술이 요구 ... ' 과 '1'이라는 두 종류의 논리에 대응한 전압의 조합이 정보로 표현 약간의 잡음이 혼입되어도 정보에는 영향을 주지 않는다.형정형 처리를 하는 정도로, 원래 파형의 정보에 영향 ... ) 컴퓨터 단말기의 디지털 신호, 숫자 표시 시계등2.vhdl이란VHDL이란 : Very high speed integrated circuit Hardware Description
    리포트 | 5페이지 | 1,000원 | 등록일 2007.11.07
  • [논리회로]병렬 로드를 가지는 4bit 양방향 시프트 레지스터
    2. 프로그램 소스(1) mux.vhdlibrary ieee;use ieee.std_logic_1164.all;entity mux4x1 is port( data1, data2, data3, data4: in std_logic; sel ..
    리포트 | 8페이지 | 1,500원 | 등록일 2006.05.29
  • VHDL Decoder and Encoder(prelab 입니다) xilinx vhdl
    1. Purpose of the Experiment이번 실험은 조합논리회로를 이용한 74LS138 decoder를 이해하고 설계하는 것이다. 즉, n개의 입력에 대하여 2n개 ... decoder는 4개에 입력에 대하여 16개의 서로 다른 정보로 바꿔주는 회로이다. 원래의 Decoder 정의대로 하자면 출력 단자는 16개가 되어야 하지만, Spartan Board ... 하면 출력을 나타낼 수 있게 되는 것이다.- Make VHDL codeslibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • gray code - binary code 변환
    어 3개의 multiple output이 나오는 모듈을 설계한다. 3개의 Karnough map을 이용하여 최적의 logic network을 구현하고, VHDL로 coding을 하 ... onversion할 때 binary code를 쓰는 것보다 gray code를 쓰는 것이 더 오류가 적게 발생한다.위의 truth table을 가지고 회로를 구성하기 위해서 ... 하였다.이렇게 해서 compile을 했더니 성공적으로 compile되는 것을 확인 할 수 있었다. ( 그림 첨부)VHDL code위에 설명한 것처럼 coding한 vhdl code
    리포트 | 4페이지 | 1,000원 | 등록일 2006.11.15
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 19일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:37 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감