• 통합검색(485)
  • 리포트(446)
  • 자기소개서(35)
  • 시험자료(3)
  • 논문(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로 vhdl" 검색결과 321-340 / 485건

  • vhdl을 이용한 shifter 설계
    동기식 reset을 설정해야 한다. 만약 reset 값이 0이면 다른 논리회로 요소와는 관계없이 무조건 출력은 0이 된다. 그 후 clock을 설정하는데 이 설계에서는 상승엣지 일 ... 하여 회로를 구성해야 한다.3. Sources & Results------------------------------------------------------------------
    리포트 | 7페이지 | 2,000원 | 등록일 2009.11.12
  • 2-port OR gate
    모두 Or Gate의 특성을 잘 따르고 있었다. 실행 결과는 논리회로 시간에 배운것처럼 값이 나오는 것을 확인할 수 있었다. 오늘 이렇게 배운 내용이 앞으로 나올 내용들의 바탕 ... 2주차 과제1. 설계 배경 및 목표이론적으로 배운 기본적인 VHDL의 개요를 알고 VHDL 프로그램 (Xilinx ISE, ModelSim XE)의 사용법을 습득한다. 2 ... 은 외부적인 모형을 표현하였으므로 다음으로는 하드웨어 구성 내부의 연결동작을 표현하여야 한다. 즉, architecture 부에서는 회로의 실질적인 내부 동작 또는 각 부품들 사이
    리포트 | 17페이지 | 1,000원 | 등록일 2010.06.24
  • 논리회로설계실험 D-FF , 8bit Register 설계
    1)D-FF 설계 library IEEE;use IEEE.std_logic_1164.all;entity dff isport( d, clk : in std_logic;sim:/tb_dff/u1/clk sim:/tb_dff/u1/d sim:/tb_dff/u1/q1 sim:..
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 현대다이모스 자기소개서
    교수님께 가서 이해할 때까지 물어보곤 하였습니다. 그래서 저는 아날로그 및 디지털 논리회로 설계 부분에서 능력이 뛰어나며 이를 마이크로 컨트롤러와 연동하여 소프트웨어로 제어하는 것 ... 는 사람들에게 최적의 인터페이스를 제공하는 목적으로 만들었습니다. 저는 이 프로젝트에서 작품구상과 전체 회로설계 및 C언어를 이용한 펌웨어 제작을 맡았습니다. 10월 중순에 열리는 전시 ... 부분은 저의 전공능력에서도 나타났습니다. 학교에서 수행한 프로젝트 VHDL을 이용한 CPU 설계, 영상처리, 리눅스 등, 모두 어렵다고 꺼리는 과목들이었지만 저는 할 수 없
    자기소개서 | 4페이지 | 3,000원 | 등록일 2016.04.05 | 수정일 2018.05.16
  • (디지털시스템설계)VHDL RS_Latch
    Latch 에 대한 test bench를 VHDL로 작성한 후 functional simulation을 하여 그 결과를 보시오.(화면 캡쳐)4. RS Latch 의 논리도를 구하시오. 이 ... 디지털시스템설계- RS Latch -담 당 교 수 님교수님소 속조( 조)제 출 일 자2010.11.00학 번 성 명1. 게이트를 이용하여 회로도를 구하시오.2. RS Latch ... 에 대한 VHDL 코드를 구하시오 단. 새 project를 생성하고, 작성된 코드의 synthesis 후 결과 log 파일 화면을 캡쳐 하여 보고서에 삽입하시오.3. RS
    리포트 | 7페이지 | 1,000원 | 등록일 2010.12.01
  • 11_1학기_논리회로설계실험 프로젝트 결과보고서
    하려고 한다. 이를 위 해서 플레이어간 밸런스를 잘 맞추고 다양한 변수를 게임 내에 갖출 것이다.세 번째로 논리회로 설계 때 배운 내용들 내에서 설계하고자 하였다.결론 적으로 보 ... VHDL Project 브루마블 설계9조2011. 6. 14차 례1. 서론가. 프로젝트 수행 동기 및 목표 ... 지역 도착 ··································································· 124. VHDL 코드 구현 및 해석
    리포트 | 52페이지 | 3,000원 | 등록일 2011.08.10
  • 응용논리회로 텀프로젝트 제안서
    하고 설계해보는 과정을 통하여 디지털 시계의 구동원리 및 방법에 대해서 인지 할수 있는 계기를 마련합니다. 또한 지금까지 응용논리회로설계 수업 시간에 배운 다양한 디지털 회로 및 소자 ... 응용논리설계 및 실습Final Term Project(디지털 시계 설계)1. Term Project 주제 및 설계설명- 디지털 시계 설계- 시(Hour), 분(Minute), 초 ... 의 VHDL표현을 가장 잘 활용 할 수 있는 것 중 하나가 디지털 시계제작이라고 판단되어 이번 프로젝트를 바탕으로 VHDL에 대해서 좀더 익숙해 지는 계기를 마련하기 위하여 선정하였습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.13
  • Logics를 이용한 논리회로 설계보고서
    2010년 논리회로 설계보고서목 차1. 시뮬레이터 요약2. 설계 1: 기본 논리게이트 설계 및 구현3. 설계 2: 불 대수와 드모르간의 정리 설계 및 구현4. 설계 3 ... 하는 소자- 입출력 및 전원 관련 소자- 조합회로- 스파이스(Spice)회로- 아날로그 소자- VHDL(VHSIC Hardware Description Language)- 디지털 ... - 실제 실험하기 전에 회로를 구성하여 시뮬레이션 해볼 수 있어서 시행착오를 줄일 수 있다.2. 실험 1: 기본 논리게이트 설계 및 구현① NOT 게이트 구성▶ 시뮬레이션 구성
    리포트 | 71페이지 | 6,000원 | 등록일 2011.04.24
  • 플립플롭및레지스터결과보고서
    ?Model Sim2.1 실험1[D 래치]- 실험 방법 및 내용 설명 -? 실험순서① D래치를 VHDL 기술E(enable)단자가 1이되면 D(data)를 Q에 출력하는 논리 회로 ... 하는 기본 회로중 하나이다. 조합 회로를 단순하게 하여 조합 논리를 실현하는 회로가 아니고, 입력에 대하여 지연된 하나의 출력을 입력에 피드백하는 것으로 정보를 보관 유지하는데 사용 ... 시킬 시점이 아니면 출력의 변화가 일어나지 않는 플립플롭.1.2 플립플롭의 특징.플립플롭은 내부가 논리 회로로 구성되어 있기 때문에 논리 회로에 준하는 빠른 동작속도를 얻을 수 있
    리포트 | 14페이지 | 1,500원 | 등록일 2009.05.25
  • (디지털시스템설계)VHDL Full Adder
    . 게이트를 이용하여 회로도를 구하시오.3. Full_Adder에 대한 VHDL 코드를 구하시오 단. 새 project를 생성하고, 작성된 코드의 synthesis 후 결과 log 파일 ... 화면을 캡쳐 하여 보고서에 삽입하시오.4. Full_Adder에 대한 test bench를 VHDL로 작성한 후 functional simulation을 하여 그 결과를 보 ... 시오.(화면 캡쳐)5. Full_Adder의 논리도를 구하시오. 이때 할당된 pin 번호 밑 jump cable 구성의 구성을 표시하시오.6. Full_Adder의 Emulation
    리포트 | 8페이지 | 1,000원 | 등록일 2010.12.01
  • [디지털논리회로] 커피자동판매기 설계
    diagram) 상태표 (State table) 간소화 ( 카르노맵 이용 ) 회로 설계 시뮬레이션 Case 1. 250 원에서 50 원이 입력되었을 때 Case 2. 250 원 ... -Flop 의 회로도와 진리표 및 여기표 Q(t) Q(t+1) J K 0 0 0 X 0 1 1 X 1 0 X 1 1 1 X 0상태도 (State diagram) 0 (000) 50 ... 01 10 11 000 001 011 010 110 111 101 100 C = wH + wyF D = wyH - 12 -회로구성 - 13 - F w H F F+wH w’ H F
    리포트 | 25페이지 | 2,000원 | 등록일 2011.06.29
  • Timing Simulation
    1. 주 제 10진 카운터를 설계하고 이를 이용하여 Timing Simulation을 수행, 최대 동작 속도를 구해본다.4. 시뮬레이션(Modelsim) 이제 코딩과 Quartus를 통하여 생성된 파일로 Modelsim을 이용하여 시뮬레이션하여 최대 동작 속도를 구..
    리포트 | 8페이지 | 1,000원 | 등록일 2010.10.16
  • vhdl을 이용한 FPGA 킷에서의 디지털 시계 구현
    1. PurposeXilinx 소프트웨어를 이용하여 디지털 시계의 소스코드를 작성한 후, FPGA Kit에 적용하여 실제로 시간이 표시되는지 여부를 확인한다.2. Problem Statement① Describe what is the problem.주어진 entity로..
    리포트 | 8페이지 | 3,000원 | 등록일 2009.11.12
  • vhdl을 이용한 RAM 설계
    하게끔 설정하며, enable 값이 0일때는 전체 회로가 동작하지 않는다. Enable값이 1이 되면 비로소 회로가 동작하는데 we값이 0이면 읽기모드, 1이면 쓰기모드가 되도록 회로
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • Full Adder VHDL Design - 전가산기 구현
    는데, 즉 두 개의 2진수 A와 B에 자리올림까지 함께 더하는 회로가 전가산기이다.★ 진리표★ K - Mapa. 합(S) : Sumb. 자리올림(C) : Carry★ 논리식★ 논리 ... 회로VHDL Source----------------------------------------------------------------------------------- ... - Company:-- Engineer:---- Create Date: 13:53:21 10/23/2009-- Design Name:-- Module Name: FA_vhdl
    리포트 | 7페이지 | 2,000원 | 등록일 2009.10.24 | 수정일 2020.09.10
  • 2-port Nand,Xor, 3-port AND gate의 설계
    논리회로 설계와 응용, 백주기, 장홍주 공저, 2006년, 성안당 ② 디지털 시스템 설계를 위한 VHDL의 기본과 활용, 류장렬 외 6인 공저, 2005년, 광문각)3. 설계 내용 ... 만을 조합하여 모든 논리회로를 구현할 수 있기 때문에 만능 게이트(범용 게이트)라고 한다.입력(input)출력(output)ABY************* port NAND Gate ... 게이트는 AND Gate의 출력을 역으로 만든다. NAND Gate의 논리연산은 모든 입력이 1이면 출력은 0이 되거나 하나의 입력이라도 0이면 출력은 1이 된다. NAND Gate
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.24
  • 7-segment 표시를 위한 Decoder 설계
    3); -- 입력은 0~3인 4개항의 논리벡터output : out std_logic_vector (0 to 6)); -- 출력은 0~6인 7개항의 논리벡터end; - ... -- 7segment의 부울식을 지정해준다. (1)....Block-Diagram을 보면 각 Output마다 연결된 논리게이트와 Port의 수가 다르다는 것을 볼 수 있
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • VHDL을 이용한 세계시계 구현
    에 출력된다.2. VHDL코드에서 segment파일은 display블록에서 사용하는 함수들을 모아놓은 것이기 때문에 블록 다이어그램에서는 생략하였다.VHDL Code for Each
    리포트 | 16페이지 | 4,000원 | 등록일 2012.05.18
  • VHDL을 이용한 ALU설계(소스코드포함)
    하는 부분을 ALU라고 한다. ALU(Arithmetic and Logical Unit)는 산술 연산과 논리 연산을 처리할 수 있는 기능을 갖췄다.◎Design process첫 번 ... . ALU modelAdder와 Substractor, Multiplier는 이미 앞선 과제에서 한번 씩 설계를 해보았기 때문에 어려움이 없었고, 그 외에 논리 연산인 and, or
    리포트 | 9페이지 | 1,500원 | 등록일 2009.12.01
  • VHDL을 이용한 Half Adder(반가산기)설계
    ◎Half adder1) 소스코드① half adderlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL; ◀ library 정의 , IE..
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.18
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 20일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:11 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감