• 통합검색(485)
  • 리포트(446)
  • 자기소개서(35)
  • 시험자료(3)
  • 논문(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로 vhdl" 검색결과 181-200 / 485건

  • 논리회로설계실험 반가산기전가산기설계 결과보고서
    논리회로설계 실험 결과보고서 #2실험 2. 조합 회로 설계-전가산기실험목표전가산기의 동작을 이해하고 진리표를 작성해 본다. 작성한 진리표를 바탕으로 최소화된 논리식을 사용하여 전 ... 가산기 회로를 동작적 모델링, 자료 흐름 모델링, 그리고 구조적 모델링 방식으로 코드를 작성해 본다. 또한 Schematic design을 이용하여 전가산기의 논리회로를 구성 ... 의 모델링 방식과 Schematic design을 통한 전가산기 회로가 정상적으로 작동한다는 것을 의미한다. 최종적으로 동작적 모델링, 자료 흐름 모델링 그리고 무엇보다도 구조적 모델링 방식의 VHDL 표현방식에 대하여 이해할 수 있었다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 04-논리회로설계실험-예비보고서
    과 목 : 논리회로설계실험과 제 명 : #4 디코더 엔코더 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 ... : A반 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 1논리회로설계 실험 예비보고서 #4실험 1. 디코더 엔코더 설계1. 실험 목표2 bit ... 의 코드를 받아서 N bit의 2진 코드로 해독하는 디코더와 N bit의 2진 코드를 받아 2 bit로 부호화 하는 회로VHDL을 이용하여 설계할 수 있다.2. 예비 이론(1
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • VHDL 설계과제 LINE TRACER
    트레이서 설계 프로젝트를 통하여 VHDL이라는 언어를 이해하고 사용에 익숙해지고 더불어 디지털 논리 회로 설계에 대해 알아볼 수 있었다.라인트레이서는 전공과목(전자공학설계입문 ... 기 말 프 로 젝 트 보 고 서- VHDL 설계과제 : LINE TRACER -1. 프로젝트 개요1.1 목 표▶ 적외선 센서를 사용하는 라인트레이서를 VHDL을 사용하여 구현 ... 가면 라인트레이서가 이동하도록 설계하였다. 즉, sequential한 논리의 구조를 가졌다.▶ 동작 : 정지 신호 인식정지센서(sensor1)의 두 개의 정지 센서(위 그림에서 파란
    리포트 | 6페이지 | 5,000원 | 등록일 2012.03.11
  • VHDL을 이용한 Elevator system 설계
    응용논리회로설계Term Project[Elevator system]Elevator System응용논리회로설계 Term ProjectElevator System1. 제 목 ... Elevator System2. 조 원3. 설계목표8층 엘리베이터의 내부 제어를 위한 VHDL구문 설계ALTERA DE2 보드의 구성요소를 가지고 엘리베이터의 동작을 표현4. 구성요소클럭 ... Diagram10. VHDL 소스library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;Entity 구문
    리포트 | 26페이지 | 3,000원 | 등록일 2010.10.16
  • [12주차] Calulator
    과 목 : 논리회로설계실험과 제 명 : 계산기 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 :이 름 :제 출 일 :논리회로설계실험 - 계산기 설계 과제7조 ( 유광 ... .2. Sources & Result. VHDL Source-------------------------------------------------------------------
    리포트 | 20페이지 | 2,000원 | 등록일 2012.06.30
  • 아주대 OOO 교수님 논리회로 과제 4비트 9의 보수 회로
    of Product) : 불 함수를 표시하는 방식. 표준형이라고 한다. 정규형과 달리 논리곱을 표시하기 위해 필요한 변수만을 사용한다. 예를 들어, F(A, B)=A1B+AB ... 로 표시되는 정규형은 불 대수의 공리를 이용하여 표준형으로 바꾸어 표시하면 F(A, B)=B이다.Library ieee;Use ieee.std_logic
    리포트 | 14페이지 | 5,000원 | 등록일 2015.03.12
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Pre
    , Arithmetic Logic and Comparator, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... . 17학번이름Professor조교실험 소개실험 목적Decoder, Encoder, Mux의 Verilog 설계를 통해 Programming 능력을 향상하고 조합 논리 회로를 이해 ... Table of Full SubtractorMuxN개의 Input Data를 입력 받아, 그 중 하나를 선택하여 Output으로 출력하는 논리 회로.Logic Diagram of
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • [대충] 예비 VHDL을 이용한 기본 논리 게이트 및 가산기의 구현
    디지털공학실험(예비보고서)실험 : VHDL을 이용한 기본 논리게이트 및 가산기의 구현1. 실험 목적VHDL을 이용한 조합논리회로 구현을 익힌다.2. 실험 이론가. 반가산기나. 전 ... 가산기다. 멀티플렉서여러 개의 데이터 입력을 받아서 그 중 하나를 선택적으로 출력하는 논리회로로서 출력하고자 하는 데이터의 입력은 선택입력 신호에 의해서 제어된다. N개의 입력 ... 데이터 중 하나를 선택해서 선택된 데이터를 전송하는데 이를 멀티플렉싱이라 한다.3. 예비보고 사항①반가산기의 동작원리에 대해 설명하라.②카노맵을 이용해 전가산기의 회로를 최소화하라
    리포트 | 4페이지 | 1,000원 | 등록일 2015.01.17
  • 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    논리회로설계 실험 설계프로젝트라인트레이서1. 실험 목표VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 설계를 수행 ... 하였다. 순차회로에서 수행한 내용들이 linetracer를 구현하는데 많이 사용되었으며 분주기 설정, finite state machine 설계 등이 있었다. 또한 VHDL로 작성된 코드
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 실험5. Decoder & Encoder 예비보고서
    하는데 최선을 다할 것을 서약합니다. 학 과: 전자공학과제출일: 2017년 10월 16일과목명: 논리 회로 실험교수명: 이해영 교수님학 번: 201320767 201520735성 명 ... 이 존재하는 것이다 만약 n개의 입력이 들어오면 2의n승만큼의 출력이 존재한다. 보통 독립형의 집적 IC회로에 쓰이고 VHDL 이나 Verilog같은 하드웨어 언어 수단으로서 복잡 ... 는 기본적으로 디코더와 반대의 기능을 하는 조합 논리회로이다. input에서 10진수 혹은 8진수를 받아 output에서 2진수나 BCD와 같은 코드로 변환해준다. 2n개의 입력
    리포트 | 10페이지 | 1,000원 | 등록일 2017.12.07
  • 판매자 표지 자료 표지
    2018 삼성물산, 삼성전자, 자소서, 자기소개서, IT직무 , 삼성그룹, 삼성, 대기업
    두 과목은 모두 VHDL로 FPGA를 설계하는 수업이었습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. 처음 배우는 언어는 곧 잘 ... 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. 하드웨어 언어는 c언어와 너무나 달랐습니다. 곧 중간고사가 끝나고 팀원과 함께 프로젝트를 시작하였고 간단 ... 이 잘못 됐는지 발견을 못하고 결국 처음부터 설계를 했습니다. 다른 과목의 시험에는 신경 쓰지 못하고 밤새 VHDL설계만 했지만 결국 마감일까지 완성을 못했습니다. 실패의 요인 중
    자기소개서 | 4페이지 | 8,000원 | 등록일 2018.05.18
  • VHDL를 이용한 FSM 설계 및 키트 사용법
    과 목 : 논리회로설계실험과 제 명 : FSM설계 & 키드 사용법담당교수 : 김 종 태학 과 : 전자전기공학학 년 : 3 학 년학 번 :이 름 :제 출 일 : 08. 05. 14 ... 한 조건하에 state를 바꿔 가면 동작하는데 이 순차적인 논리회로의 원리를 이해한다.-Binary / Gray counter 의 상태표 및 상태도를 먼저 파악한다.RST_N ... - Describe what is the problem.- Finite State Machine일정한 천이 가능한 상태 내에서만 동작하려는 순차 논리 회로FSM의 출력과 다음 상태는 현재
    리포트 | 13페이지 | 1,000원 | 등록일 2010.05.27
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    )Introduction (실험에 대한 소개)Purpose of this Lab : Xilinx ISE를 이용하여 Schematic 설계를 한다. 이는 기본적인 논리 회로를 설계해보고 이 ... – Text Editor(VHDL, Verilog), Memory Editor(Hex, Mif), Schematic Design EntryThird party EDA tools ... file, the asterisk disappearsEnter text description - VHDL (.vhd), Verilog (.v)Verilog & VHDLVHDL
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • 판매자 표지 자료 표지
    2017 상반기 마이다스아이티 자기소개서, SW연구개발직
    를 설계하는 수업 이였습니다. 논리회로설계 수업 때 배우는 여러 가지 설계를 코딩으로 만든다는 게 신기하고 재밌었습니다. 처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했 ... 재밌었고, 교육용 보드로 여러 가지 센서를 제어 해보는 것도 재밌었습니다.하지만 실패를 맛 본 건 임베디드 시스템 수업과 디지털합성설계 수업. 이 두 과목은 모두 VHDL로 FPGA ... 지만, VHDL은 제가 생각한대로 되지 않았습니다. 하드웨어 언어는 c언어와 너무나 달랐습니다. 곧 중간고사가 끝나고 팀원과 함께 프로젝트를 시작하였습니다. 저희 팀은 은행 ATM기기인데
    자기소개서 | 3페이지 | 5,000원 | 등록일 2017.02.20 | 수정일 2017.03.01
  • 결과보고서 #8
    과 목 : 논리회로설계실험과 제 명 : #8 순차회로 설계 (결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 4. 29논리회로설계 실험 결과보고서 #8실험 8.순차회로 설계1. 실험 ... 목표순차회로에 대한 기본개념을 이해하고 조합회로와의 차이점을 이해할 수 있다. 또한 순차회로 중레지스터에 대해 이해하고 많은 기능들이 있는 범용 레지스터를 VHDL을 이용해 설계
    리포트 | 5페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 05-논리회로설계실험-예비보고서
    과 목 : 논리회로설계실험과 제 명 : #5 조합회로 설계 (예비)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 4 조학 번 : 2011311307이 름 : 김영관제 출 일 : 2015. 4. 8논리회로설계 실험 예비보고서 #5실험 1. 조합회로 설계1. 실험 목표2 bit의 코드를 받 ... 아서 N bit의 2진 코드로 해독하는 디코더와 N bit의 2진 코드를 받아 2 bit로 부호화 하는 회로VHDL을 이용하여 설계할 수 있다.2. 예비 이론(1) 1비트 비교기-
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Post
    함을 확인하였고, Count up과, Calibration Clock 또한 정상 동작함을 확인하였다.ReferenceDatasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • VHDL을 이용한 논리 게이트 실습
    VHDL 및 실습ReportQuartusⅡ를 이용한 기본 논리게이트 실습제출일2013년 3월 18일제출기한2013년 3월 18일담당교수최 종 성 교수님학과전 자 공 학 과학번 ... ) QuartusⅡ로 기본논리게이트 설계를 Schematic과 VHDL로 해보고 비교해본다.(2) 기본논리게이트의 반복설계로 QuartusⅡ를 숙달한다.3. 이론 :(1) 기본논리게이트 ... 부분은 C언어에서 #include 를 선언 하듯이 기본논리게이트의 설계를 위 해 선언하는 부분이다.entity And_gate_vhdl isport(A : in std_logic
    리포트 | 28페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 순차회로 설계 - 카운터 결과보고서
    과 목 : 논리회로설계실험과 제 명 : 순차회로 설계 - 카운터담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 5. 22논리 ... 회로설계 실험 결과보고서 #8실험 8. 순차회로 설계 - 카운터1. 실험 목표- 카운터의 종류와 각각의 기능에 대해서 이해를 하고, 이해한 내용을 바탕으로 VHDL 코딩 실습을 한다 ... . 또 클럭 분주기의 기능을 이해하고 VHDL 코딩의 시뮬레이션에 활용한다.2. 실험 결과- 실험 1. 4비트 비동기식 업카운터 설계(1) 소스 코드- 변수 Y에 2진법으로 0~9
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Pre
    – Verilog HDL 실습 Lab#09 Application Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 17일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:24 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감