• 통합검색(302)
  • 리포트(266)
  • 자기소개서(16)
  • 시험자료(13)
  • 방송통신대(4)
  • 논문(3)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로소스코드" 검색결과 121-140 / 302건

  • 결과보고서 #8
    과 목 : 논리회로설계실험과 제 명 : #8 순차회로 설계 (결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 4. 29논리회로설계 실험 결과보고서 #8실험 8.순차회로 설계1. 실험 ... 이동이 수행된다.10왼쪽 자리 이동이 수행된다.11병렬 입력이 수행된다.2) 소스 코드3) 테스트 벤치 코드4) Wave Form5) 결과 분석? 소스코드-> 레지스터 내부에서 직렬
    리포트 | 5페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 5담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 81 ... 다.값을 출력하는 방법 중 하나인 7 segment가 나타나는 부분이다.(2)어떻게 이 회로를 구성할 것인가1) VHDL 코드를 구성하는 기본 설정(1)설계 사항2)-실습시간 ... , Architecture Name을 입력한 후 아까 조교님이 주신 소스를 추가한다. 이렇게 하면 소스 코드 입력까지 완료되었다. 다음으로 VHDL 소스를 Synthesize
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 설계과제1 BCD 가산기
    논리회로설계 실험 설계과제 보고서 #1BCD 가산기1. 실험 목표7 segment 표시장치의 작동원리에 대하여 이해하고 7 segment 장치를 사용한 BCD to 7 s ... 로 출력하는 논리회로이다. 두 개의 BCD 이진수를 더할 때, 각 자리수의 합을 표현하는 BCD 형태의 4비트 2진수는 10진수로 변환하였을 때 한 자릿수가 되어야 하므로 그 수 ... . 8비트 BCD Adder와 BCD to 7 segment Decoder를 각각 모듈화하여 BCD 가산기를 작성하시오.(1) 소스코드1) Package 선언부2) Package
    리포트 | 11페이지 | 5,000원 | 등록일 2018.01.10
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    논리회로설계 설계 보고서 #21. 설계 배경 및 목표논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로를 설계한다.7segment에 표시되는 스탑워치를 설계 ... 한다.스탑워치는 분, 초, 1/100초를 나타내며, reset기능과 stop/restart기능을 가진다.2. 관련 기술 및 이론(1) BCDBCD(Binary-coded decimal ... 어야 하는 단점이 있다.십진수를 이진수로 나타내기 위해서는 나눗셈을 시행하는 복잡한 회로가 요구되기 때문에, BCD코드는 10진 출력을 요하는 회로나, 마이크로프로세서에 주로 사용
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • 결과보고서 #5
    과 목 : 논리회로설계실험과 제 명 : #5 조합회로 설계 (결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 4. 15논리회로설계 실험 결과보고서 #5실험 5.조합회로 설계1. 실험 ... 110Y = A xor BXOR111Y = not ANOT2) 소스 코드3) 테스트 벤치 코드4) Wave Form5) 결과 분석- 8가지 기능을 가진 ALU를 case문을 사용
    리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 결과보고서 #12 - RoV Lab3000 실습
    과 목 : 논리회로설계실험과 제 명 : #12 RoV_Lab3000 실습(결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 ... & 조 : A반 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 5. 27논리회로설계 실험 결과보고서 #12실험 12. RoV ... 결과- 실험 1. 스텝 모터 1상 여자 방식 구동(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC
    리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 결과보고서 #9 - 순차회로 설계
    과 목 : 논리회로설계실험과 제 명 : #9 순차회로 설계 (결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A반 ... 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 5. 6논리회로설계 실험 결과보고서 #9실험 9.순차회로 설계1. 실험 ... , 동작 확인을 할 수 있다.2. 실험 결과- 실험 1. 위의 그림으로 주어진 ‘동기식 3bit up-down 카운터’를 직접 설계한다.(1) 소스코드library IEEE;use
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 판매자 표지 자료 표지
    [A+]기계공학실험2 큐블록실험(CUBLOC)
    ) LADDER LOGIC과 BASIC언어의 장단점7가) LADDER LOGIC 7나) BASIC 언어82) 큐블럭의 예94. 참고문헌12 A회로와 B회로2 소스 형태3 ... 5가. 실험결과51) LED의 깜박이는 주기를 좀 더 느리게, 또는 좀 더 빠르게 바꿔본다.52) 0번 LED부터 7번 LED까지 순서대로 켜지게 소스를 만들어본다.6나. 고찰71 ... 한다.LADDER LOGIC과 BASICLADDER LOGIC의 가장 큰 특징은 모든 회로가 동시에 동작하는 “병렬처리 (Parallel)방식”이라는 점이다. A회로와 B회로위의 회로
    리포트 | 15페이지 | 1,500원 | 등록일 2016.08.25 | 수정일 2021.04.10
  • 결과보고서 #11 - RoV Lab3000 실습
    과 목 : 논리회로설계실험과 제 명 : #11 RoV_Lab3000 실습(결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 ... & 조 : A반 4조학 번 : 2011311307, 2011314184이 름 : 김영관, 김윤섭제 출 일 : 2015. 5. 20논리회로설계 실험 결과보고서 #11실험 11. RoV ... 적인 동작 구현을 확인한다.2. 실험 결과실험 전 Test Code를 통해 정상 동작을 확인.- 실험 1.(1) 소스코드library IEEE;use IEEE.STD_LOGIC
    리포트 | 8페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 전전컴설계실험2-9주차결과
    은 순차 논리 회로에 대해 기본 개념을 이해하고 4-bit up counter, 8-bit up down counter, 응용과제의 Moore State machine을 구현 ... 함으로써 순차 논리 회로를 직접 설계하는 과정을 통해 이론적인 내용과 실제 Simulation과 하드웨어 장비동작으로 검증해본다.(2)Essential Backgrounds for this ... 하여 예상값과 결과값을 비교한다.-Lab 31. Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 자판기동작회로를 설계한다..2. 자판기동작회로
    리포트 | 18페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 서울시립대학교 전자전기컴퓨터설계실험2 제10주 Lab09 Pre
    FPGA Chip)Pre-Lab실험 방법[실험 1] Text LCD에 문자 표시Add Source레포트의 분량 축소 목적으로 소스코드의 이미지를 줄인다.Source Code크게 4개 ... – Verilog HDL 실습 Lab#09 Application Design Ⅱ Text-LCD Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... LCDRead from LCD to FPGA명령어VFD는 명령을 받아서 실행하기까지 Delay가 필요하므로, 다음 명령을 보내기 전에 충분히 대기하거나 이전에 전송한 제어 코드
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 8051 결과
    1. 결과C-language/*** chapter3 C language code ***/#include // 헤더파일(AT892051.H)을 프로그램에 포함시킨다void ... 하는 것을 말한다. 소스코드로 프로젝트를 만들고 시물레이션을 하였는데 에러가 일어났다. 에러의 주 원인은 글자가(오타)가 났거나 저장할 때 타겟에 소스그룹을 연결을 제대로 하지 않 ... 비트를 1로 설정한다. (비트 1은 ON or OFF설정하는 코드입니다.). C 에서 ^ 는 XOR 비트연산자이다. P1 = P1^0x01 과 같은 의미인데, 무한루프를 돌면서 P1
    리포트 | 3페이지 | 1,000원 | 등록일 2013.05.24
  • 서울시립대학교 전자전기컴퓨터설계실험2 제04주 Lab03 Pre
    .Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... , Input A와 Input B의 And 관계에 있다는 코드를 작성해 주었다.Add .v fileProject –> Add Source를 클릭하여 방금 생성한 .v Source File ... 을 추가한다.소스가 추가되었으면, Synthesize, Implement Design Compile을 눌러 Compile을 진행한다.Error 없이 Compile이 완료될 경우
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 마이크로프로세스 중간고사 족보(2009,2010,2011,2012,2013)
    register (현 flag 값을 저장)segment register (segment 시작위치를 지시 --- 8086은 4개 segment 를 가진다)CS:code segmentDS ... - Instruction Decode 및 CPU control 회로 : 명령어 코드를 해독하고 그 결과에 따라 각종 제어 신호를 발생하는 모듈- Bus Control 회로 : 마이크로프로세서 외부 ... 신호가 low 상태가 된다.이때부터 메모리는 동작상태 (active state)로 되어 메모리내부의 회로(address decoder)가 address line 으로 들어온 주소
    시험자료 | 18페이지 | 2,000원 | 등록일 2016.12.12 | 수정일 2023.04.14
  • Lab#07 Sequential Logic Design2
    프로그램과 논리회로를 설계하는데 사용하는 모델이다. State machine이라고 부르기도 하며, 이 machine은 오로지 하나의 상태만을 가질 수 있다. Current State라는 ... ) Sequential Circuit의 정의Sequential Circuit은 플립플랍과 같이 출력값이 현재의 입력만으로 정해지지 않고 기억하고 있는 값과의 관계를 통해 결과값이 정해지는 회로이 ... 다. 순서회로라고도 하며, 보통은 직전의 결과값과 직전의 내부 상태가 영향을 주게된다.2) State machineFSM 또는 finite-state machine은 컴퓨터
    리포트 | 23페이지 | 1,500원 | 등록일 2016.09.11
  • VerilogHDL 가산기 정의와 카르노맵, 논리회로, TB 시물레이션,파형분석과 고찰
    로 줄이고 회로를 XOR 형식으로 바꾸면 아래와 같이 나온다.VerilogHDL Coding Examples[1] 1bit full Adder Module & TB1. 코드소스2 ... 진 코드 입력에 의해 최대 2^n개의 출력이 나오므로 가능한 2진 입력의 조합만큼 출력을 가진다.디코더는 인코더가 한 일 암호화 또는 컴퓨터가 인식할 수 있는 것을 해독해서 사람이 읽을 수 있게 바꾸는 해독기 역할을 한다.2 진리표3. 2x4 논리회로 및 블록도 ... 해진 결과인 캐리는 더 높은 자리의 두 비트의 덧셈에 추가되어 더해진다. 이때, 아래 자릿수에서 발생한 캐리까지 포함하여 세 비트를 더하는 논리회로를 전가산기라 한다. 전가산기
    리포트 | 13페이지 | 1,500원 | 등록일 2015.05.08
  • 논리회로실험 - 제 9장 KIT의 출력방식 중 하나인 LCD display 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 9담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 6 / 31 ... . IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 LCD display에 대해서 이론적으로 공부해보고 이를 KIT에 올려서 실습해보는 실험이다. LCD는 5*8 ... 와의 연결을 하는 부분을 잊지 말아야한다.소스코드로 올리기 위해 다음과 같은 작업을 해야한다. 일단 webpack을 실행시키고 VHDL module을 생성한다. 구성한 코드
    리포트 | 22페이지 | 1,000원 | 등록일 2014.08.15
  • [디지털논리회로] 프로젝트 - 고속 동작 덧셈기 설계
    test vector를 포함하는 test bench code를 작성한다.- Test bench code를 Quartus 또는 Modelsim 등의 시뮬레이터를 사용해 시뮬레이션을 수행 ... 로 확장한 것으로 보면 된다.-VHDL에 의한 설계 소스코드llibrary ieee;use ieee.std_logic_1164.all;entity cla4 isport( c0 : in ... 디지털회로설계프로젝트 #21. 제목- 고속 동작 덧셈기 설계2. 설계 목적- 고속 동작 덧셈기의 설계를 통해 덧셈 과정에 있어서 carry의 역할을 이해하고 carry 처리 방법
    리포트 | 19페이지 | 1,000원 | 등록일 2014.05.06
  • 02 논리회로설계실험 결과보고서(전,반가산기)
    논리회로설계 실험 결과보고서 #2실험 2. 반가산기와 전가산기 설계1. 실험 목표VHDL을 이용하여 반가산기와 전가산기를 설계한다.각 게이트를 설계 할 때, 동작적 모델링과 자료 ... ) 진리표, 논리식입 력출 력AB합(S)자리올림(C)*************101표1. 반가산기의 진리표반가산기 논리식S = A'B + AB' = ABC = AB2) 소스코드동작 ... ) Schematic Design1) Design2) Wave Form3) 결과 분석Schematic Design으로 설계하는 방법은 모델링 방식과는 다르게 논리회로를 그려 설계한다. 방법은 다르
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 반가산기 & 전가산기 결과보고서
    과 목 : 논리회로설계실험과 제 명 : 기본 게이트 설계담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 4. 3논리회로설계 ... 하여 실제 논리회로 모델링을 한 것을 VHDL 언어로 구현하였는데, 시뮬레이션 결과 동작적 및 자료 흐름적 모델링의 결과와 같은 결과가 나타났다. (첨부된 wave form 결과 ... 는 테스트 벤치 코드에서 설정 주기와 input X, Y의 위치가 바뀌어서 나타난 것일 뿐 결과는 동일하였다.)실험 2. 전가산기(1) 동작적 모델링 / 자료흐름적 모델링1) 소스 코드
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.25
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 12일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:59 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감