• 통합검색(9,534)
  • 리포트(8,344)
  • 자기소개서(629)
  • 시험자료(293)
  • 방송통신대(167)
  • 논문(92)
  • 서식(5)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로" 검색결과 1,161-1,180 / 9,534건

  • [A+ 결과보고서] 아주대 논리회로실험 실험1 'Basic Gates'
    으로써 간단한 실험이지만, 앞으로 논리회로실험을 할 때 중요한 내용을 포함하는 실험이었다. 이번 실험에서 사용되었던 IC는 AND, OR, NOT 3종류 뿐이었지만, 이 3종류를 이용 ... 된 logic 회로에서의 Boolean equation과 De Morgan의 이론에 대하여 알아본다.- 2-input logic gate를 이용하여 3-input logic gate ... +(A’·B)’, Y=A+B, Z=(A’·B)’이고 진리표는 위와 같다.3. 실험에 대한 고찰이번실험은 논리값 1, 0을 인풋하여 다양한 gate를 거친 아웃풋을 확인하는 실험
    리포트 | 4페이지 | 1,000원 | 등록일 2015.03.27
  • [A+ 결과보고서] 아주대 논리회로실험 실험7 'Shift Register'
    bit shift right register회로구성1. PR1,2 : 1, SERIAL DATA : 02. CLR : 0->13. PR1,2 : 0->14. CLOCK 인가 ... right register-예비보고서 결과와 실제 실험결과와 이론적으로 나오는 결과값이 일치하였다. 사실 이 실험은 어떠한 양을 측정하는 실험이 아니고 단순히 회로도에 입력을 가했 ... 을 때, 생기는 결과가 명확히 정해져 있는 실험이므로, 실험값이 예비보고서와 이론적인 결과값이 같을 경우에는 실험진행시 회로를 올바르게 구성했다는 것 밖에 분석할 수 없는것같다.실험
    리포트 | 9페이지 | 1,000원 | 등록일 2015.03.27
  • 수동소자, 디지털과 아날로그, 논리회로
    으로 제작 되어 있다. 같은 치수라 하더라도 회로상 흐르는 전류에 의하여 열이 발생할 수 있으므로 사용 전류 이상의 저항을 사용하여야 한다.④ 특징 - 수동소자는 능동소자와 달리 ... 는 다. R= 1/2πfc 로 치수를 나타낸다.ⓑ 인덕터 : 전류의 변화량에 비례해 전압을 유도하는 코일로 저항·콘덴서·전자관·트랜지스터·전원 등과 함께 전기회로를 이루는 가장 중요 ... 은 코일로 가장 기본적인 회로 부품이자 회로 소자로서 인덕터에 전류가 흐르고 있을 때 인덕터의 한 쪽을 갑자기 끊어 버리면, 전류는 순식간에 0이 되면서 매우 높은 전압이 발생
    리포트 | 5페이지 | 1,000원 | 등록일 2009.07.05
  • [Lab#2]논리게이트 회로 실습
    , 성명: 신호영 학번: 200810211 제출마감: '10.4.11(월) 20:00[Lab.2]논리 게이트 IC회로 실습[1]학습목표a)AND, NAND, OR, NOR, XOR ... )[예] IC 핀 번호 그림; breadboard에 회로를 구성할 때 IC 핀 번호를 확인하고 오류없이 연결한다.[4]논리 게이트 IC 실습:?먼저, ED-1000BS Logic ... 신호로 1초에 5번 High입력을 주게 된다. 두 개의 입력이 AND게이트로 들어오면 게이트 논리에 맞춰 출력 값이 발생한다.2) 이를 응용하면 회로 내부의 프로그램에 미리 신호
    리포트 | 16페이지 | 1,000원 | 등록일 2011.06.01
  • 게이트 및 조합논리회로 dld 디지탈논리회로실험
    1. 실험관련 이론1. 논리회로와 게이트논리 회로는 비연속적(Discrete)인 신호를 다루는 회로인데 특히 두가지의 비연속적인 신호를 다루는 회로를 Binary Digital ... 회로(2진 논리 회로)라 한다. 보통 논리 회로라 하면 Binary Digital 회로를 뜻하며두 가지의 비연속적인 신호를 0,1을 사용하여 부호화하여 나타낸 정보를 다루는 회로이 ... 다.게이트(gate)란, 한 개 이상의 입력 신호를 받아 한 개의 출력 신호를 내는 전자회로이다. 디지털 회로에서 특정 노드의 값은 ‘1’ 또는 ‘0’로 나타낸다. 정논리
    리포트 | 5페이지 | 1,000원 | 등록일 2008.03.14
  • 디지털 논리 회로 maxplus 곱셈기 나누셈기 만들기(코드, 보고서)
    8bit unsigned multiplier를 설계할 때 일단은 input 값과 multiplier 와 multiplicand를 각각 입력 받았습니다. multiplier 값은 경우는 한 칸씩 shift 되면서 맨 마지막 자리 수 가 1인지 0인지 구분해야 하는데 i..
    리포트 | 13페이지 | 3,000원 | 등록일 2014.01.12
  • [실험레포트] 논리회로실험
    ..FILE:결과리포트.zip..FILE:5번째 가산기 감산기 디코더/2진 카운터.htm전기.전자 실험실습 Ⅲ권/디지탈회로(PART12~17)실험 3 : 2진 계수기 ... 으로 계속 계수 되다가, 15로 되돌아가서 다시 감소하며 계수된다. 그림14-7의 회로에서 출력들은 플립플롭의 보수 단자bar Q~에서 빼낸다면 이 회로는 2진 다운 카운터로서 쓸 수 있 ... 다. 만일플립플롭의 정상 출력Q~밖에 얻을 수 없을 경우, 그 회로를 다운 카운터로 쓰려면 다음과같이 수정하여야 한다. 2진 다운 카운터의 카운트 순차를 생각해 보면, 우선 가장
    리포트 | 24페이지 | 5,000원 | 등록일 2008.04.12
  • 논리회로실험 부울대수 및 조합논리회로 설계.hwp
    ◆ 제목실험 3. 부울대수 및 조합논리회로 설계◆ 목적(1) 부울대수의 공리 및 정리들을 공부한다.(2) 조합논리회로 설계방법을 공부한다.◆ 이론1. 부울 대수의 기본 정의 ... 회로와 시스템의 연산을 표현하고 구성하는데 간략화된 부울식을 이용함으로써 쉽게 회로를 구성할 수 있다.(1) 기본 2진 논리와 진리표 표현0과 1의 두 값을 시스템에 적용하는 것 ... 과 승산은 논리회로에 있어서 OR과 AND동작과 같으며, OR 연산을 논리합(Logical Sum), AND 연산을 논리곱(Logical Product)이라 한다. 논리 변수
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.19
  • 논리회로설계실험_다양한 가산기
    1.반가산기 (Half Adder) : Behavioral Modeling1)HDL코드library ieee;use ieee.std_logic_1164.all;entity HA isport(X,Y : in std_logic;s,c : out std_logic);end ..
    리포트 | 13페이지 | 1,000원 | 등록일 2010.04.10
  • 부동 소수점 곱셈기 논리 회로 설계(32비트)
    리포트 | 3,000원 | 등록일 2013.07.21
  • 순서논리회로와 디지털회로 응용
    {{Ⅳ. 순서 논리 회로와 디지털 회로 응용{1. 멀티바이브레이터{2. 플립플롭{3. 순서 논리 회로 설계{4. 계수 회로와 레지스터{5. 디지털 회로 응용{[목차]{{1. 멀티 ... 으로 바꾸는 회로는 무엇인가?{[순서 논리 회로와 디지털 회로 응용 목차]{{2. 플립플롭학습 목표기본 플립플롭 회로를 구성할 수 있다.RS 플립플롭 회로의 특성을 활용할 수 있 ... 회로와 디지털 회로 응용 목차]{{3. 순서 논리 회로 설계학습 목표어떤 기능을 위한 동작과 상태를 표와 상태도로 표현할 수 있다.상태가 변하는 조건을 상태방정식으로 나타낼 수 있
    리포트 | 21페이지 | 1,000원 | 등록일 2007.03.10
  • 디지털논리회로-도어락(door lock) 프로젝트 (+ MULTISIM 회로도),홍익대,2학년1학기,도어락,프로젝트,DoorRock,project,2-1
    2. 설계 방법(1) 카운터와 7-세그먼트 연결-UP/DOWN 스위치는 SPST를 사용하여 각 상태가 유지되도록 하고, INPUT 스위치는 NOPB를 이용하여 한번 식 누를 때마다 펄스가 형성되도록 구성한다. -각 스위치에 SOURCE를 연결하고 UP/DOWN 스위치를..
    리포트 | 14페이지 | 2,000원 | 등록일 2017.06.28 | 수정일 2019.01.25
  • [논리회로실험] Basic Gates (결과)
    1. 실험목표1) 기본적인 logic gates(AND, OR, NOT, NAND, NOR, XOR)에 대해 알아본다.2) logic회로에서의 Boolean equation ... 의 구성한 회로도INPUTOUTPUTABCL1L20*************001100100001010011010111113-input AND gate의 Truth Table2 ... 3-input OR gate의 logic diagram3-input OR gate의 구성한 회로도INPUTOUTPUTABCL1L
    리포트 | 11페이지 | 1,500원 | 등록일 2009.03.20
  • [논리회로실험] decoder와 encoder (결과)
    egment LED 1개3. 실험방법 및 결과1) 2단 2진 카운터그림 2. 2단 2진 카운터 decoding gate그림 2의 회로를 구성하여 그림 3에 다음의 파형을 그리 ... gate의 6번 핀 출력파형그림 3. 2단 2진 카운터 실험의 waveform2) 3진 카운터이 실험에서는 카운터 각각의 카운트 상태를 디코딩할 것이다. 그림 4의 회로를 연결 ... 딩 - 10진 / Excess - 3코드그림 7의 회로를 구성하라. 출력 D, C, B, A의 전압을 측정하여 표 2에 기록하라.그림 7. Decimal-to-excess 3
    리포트 | 7페이지 | 1,500원 | 등록일 2009.03.20
  • 논리회로(Tabular Method)
    REPORT논리회로(Tabular Method)< Tabular Method(Quine-McCluskey methodAlgorithm) >※ QM-method란앞에서 학습한 카노
    리포트 | 6페이지 | 2,000원 | 등록일 2006.11.19
  • [A+ 예비보고서] 아주대 논리회로실험 실험1 'Basic Gates'
    [예비보고서]1. 실험목적- 여러 가지 논리 게이트를 이해한다.- 논리회로 구성법칙을 이해한다- Boolean Eqs, De Morgan's law 이해2. 실험이론● logic ... gates (AND, OR, NOT, NAND, NOR, XOR)논리연산 수행- 논리 값Low(L) - logic value = 0- Physical value = 0VHigh ... -출처 : 네이버 지식백과●De Morgan의 법칙드 모르간의 법칙(De Morgan's laws)은 수리 논리학이나 집합론에서 논리곱(집합의 공통 부분), 논리합(집합의 모든 부분
    리포트 | 4페이지 | 1,000원 | 등록일 2015.03.27
  • 디지털로직실험 13장 디멀티플렉서를 이용한 조합논리 회로
    실험13디멀티플렉서를 이용한 조합 논리● 실험 목표□ 디멀티플렉서를 이용한 다중 출력 조합 논리 회로의 설계.□ 오실로스코프를 아용하여 카운터-디코더 회로의 타이밍 다이어그램 ... 이 켜진다.1. 실험 보고서의 그림 13-6에 미완성의 회로도가 있다. 74LS139A는 상태 디코더이고반전 입력 NOR 게이트로 그려진 AND 게이트는 출력 논리를 만든다. 진리표 ... ● 데이터 및 관찰내용그림 13-6교통 신호등 출력논리1A=0, 1B=01A=1 1B=01A=1, 1B=11A=0 , 1B=1=>회로를 생각 해보고 구성해 본 결과 표 13-1의 진리표
    리포트 | 7페이지 | 4,500원 | 등록일 2013.06.22 | 수정일 2021.02.02
  • [A+ 예비보고서] 아주대 논리회로실험 실험7 'Shift Register'
    실험7 예비보고서1.실험목적-시프트 레지스터에 대한 동작과 원리를 이해한다.-n-bit 시프트 레지스터를 직접 실험해보고 시프트되는 과정을 관찰한다.2.실험이론●시프트레지스터-시프트 레지스터는 데이터 저장능력을 가지고있는 n개의 연결된 플립플롭으로써, 클럭 펄스에 따라..
    리포트 | 4페이지 | 1,000원 | 등록일 2015.03.27
  • 전자회로실험 16장 bjt논리 반전기 예비
    1. 목적1) 논리 반전기의 회로기능과 중요한 특성들을 이해한다.2) BJT를 이용한 논리 반전기 회로를 실험을 통해 이해한다.2.예비지식2.1 이상적인 디지털 논리 반전기논리 ... . 이 때 트랜지스터는 활성영역에서 동작할 것이고, VBEon = 0.2V이다.준비 3.1.1)에서 준비 3.1.4)까지의 결과를 이용하여 논리 반전기 회로의 입출력전압전달 특성 ... 을 스케치 하라.3.2) 논리 반전기 회로의 입출력 전압파형1) 그림 16.4의 회로에서,그리고 이고 트랜지스터의β = 150 이다.2) 회로의 입력에 tr = tf = 1us이고 폭
    리포트 | 8페이지 | 1,500원 | 등록일 2012.11.04
  • 논리회로실험) 부울대수의 간소화(2) 결과보고서
    하다는 점이 있었고 , 그 결과 값은 두 식이 서로 같다는 결론이 나왔다 .2 . 실험 고찰1 . 아래의 회로도와 같은 결과 값이 나오는 부울 식을 완성하고 , Verilog HDL ... 로 변환하여 Quartus II 와 ModelSim을 참고해서 결과 값 확인1 ) 고찰 1 회로를 부울 식으로 고치시오.F = ( AㆍB' ) + ( A'ㆍB )2 ) 고찰 1 ... 회로를 참고해서 Verilog HDL로 변환 하시오.Input ( a . b ) output ( f ) assign f = ( a & !b ) | ( !a & b )3 ) Test
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 14일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감