• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(2,329)
  • 리포트(2,212)
  • 자기소개서(67)
  • 방송통신대(25)
  • 시험자료(18)
  • 논문(5)
  • 서식(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로보고서" 검색결과 461-480 / 2,329건

  • 논리회로실험 - 제 2장 가산기 및 감산기 결과 보고
    과 목 : 논리회로설계과 제 명 : 결과보고서 1담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 21 ... 한다.VHDL이라는 디지털 회로를 설계하는데 사용되는 하드웨어 기술 언어를 통해 M에 따라서 덧셈과 뺄셈을 달리하는 가/감산기를 설계하고 출력 값을 확인하여 이론값과 비교해 본다 ... .2. Design(1)어떠한 회로를 설계할 것인가1)4bit 가/감산기모드 M의 값에 따라 가산기모드나 감산기모드를 결정한다.M이 0인 경우 가산기 모드이고, M이 1인 경우 감산
    리포트 | 10페이지 | 1,000원 | 등록일 2014.08.15
  • 실험 4. 논리게이트의 특성 및 연산회로 결과보고
    1조2009037600 김동준2009037613 이창엽실험4. 논리게이트의 특성 및 연산회로▣실험목적논리게이트(TTL 74LS04)입출력의 전기적 특성을 실험을 통해서 알아본다 ... .(fan-out, 잡음여유)▣내용4.5.1) 0~5v, 1kHz구형파를 발생시켜 다음 회로의 파형을 관측하라.결과)2V/0.5ms입력()진폭: 5V주기: 1ms출력()진폭: 4.1 ... 이 나타났다. 이를 통해서 여러 개의 TTL 74LS04소자를 연결하게 되면 원하는 출력을 얻지 못할 수도 있겠다는 생각이 들었다.4.5.2) 2) [그림 4.7]의 회로에 입력
    리포트 | 9페이지 | 6,000원 | 등록일 2012.03.18 | 수정일 2017.03.12
  • Basic gate에 관한 논리회로 실험 결과 보고
    로 truth table을 완성하라.3-input AND gate truth tableINPUTOUTPUTABCL2LLLLLLHLLHLLLHHLHLLLHLHLHHLLHHHH(2)예비보고서 ... NOR gate truth tableINPUTOUTPUTABCL2LLLHLLHLLHLLLHHLHLLLHLHLHHLLHHHL(3)그림 2의 회로를 구성한 후 입력 A, B에 대한 X ... (a)와 (b) 회로를 구성한 후 각점 (X, Y, U, V, W)에서의 값을 측정하여 입력에 대한 결과의 표를 만든 후 이 결과 값을 이용하여 De Morgan`s theory
    리포트 | 8페이지 | 2,000원 | 등록일 2010.11.30
  • 예비보고서 // 기본적인 논리게이트, 간략화기법과 다단논리회로
    *게이트의 팬인 과 팬아웃에 대하여 조사하시오 1)팬인-논리게이트 입력의 수논리게이트가 어떻게 구성되는가에 따라 입력이 어떤 수 이상으로 증가하는 것은 비실용적이다이것은 기생
    리포트 | 12페이지 | 1,000원 | 등록일 2010.11.16 | 수정일 2018.09.10
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고
    과 목 : 논리회로설계과 제 명 : 결과보고서 5담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 81 ... 이론으로가 아니라 실제로 이 회로가 돌아가는지를 확인해보는 실험이다.2. Design(1)어떠한 회로를 설계할 것인가 1)1)ALU-4bit State(상태) 별 동작-ALU ... 의 동작방법1. S0~S3의 선택에 따라 입력 데이터 A, B, Cin의 연산이 결정된다.2. S3값에 따라 산술연산을 할 것인지 논리연산을 할 것인지 결정하게 된다.3. S0~S2
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 디지털 논리회로 시계 타이머 스탑 와치 알람 만들기 maxplus이용 (코드, 보고서)
    module digitalclock(swbutton1, swbutton2,set,reset,clk,segment1,segment2,segment3,segment4,segment5,segment6,led1,led2,led3,led16);input swbutton1;inp..
    리포트 | 21페이지 | 3,000원 | 등록일 2014.01.12
  • 디지털 논리 실험, 산술 논리 회로 실험 결과 보고
    ReservedⅠ 결과분석 및 고찰이번 실험은 CPU(Central Processor Unit)와 같은 Controller Unit의 기본이 되는 동작을 수행하는 논리회로인 산술논리장치(ALU ... 한 코드를 설정된 제어 스위치로 값을 넣어서 결과를 살펴볼 수 있었다. 실험에서는 산술논리장치를 4비트로 구현하여 위의 table에 있는 12가지 연산을 확인하였다. 이와 같이 ... ALU에 많이 사용하는 연산을 포함시킨다면 고속으로 회로를 동작시키는데 큰 도움이 될 것이다.Ⅱ 결과토의사항0. 표 11-2의 ALU를 이용하여 곱셈과 나눗셈을 연산하는 과정에 대해서
    리포트 | 10페이지 | 1,500원 | 등록일 2009.07.18
  • 아주대 논리회로실험 래치와 플립플롭 , Decoder &Encoder결과보고
    논리회로실험 결과보고서실험5. Decoder & Encoder실험 1) 2*4 디코더AND, NOT gate를 사용해서 2*4 디코더회로구성실험 1 결과값입력출력ABD0D1D2D ... 지는 않았지만 예비보고서를 통해 R-S F/F을 공부했고 결과가 R-S F/F에서 S, R값이 1일 때, 금지가 나오지만 J-K F/F에서는 값이 존재하며 이 때 반전이 일어난다는 것 ... 이 너무 생소해서 예비보고서를 작성하면서도 이해가 되지 않았다. 당연히 실험에서도 어려움을 겪을 수 밖에 없었다.첫 번째 실험은 Gate를 이용하여 2*4 디코더를 구성해 보는 실험
    리포트 | 7페이지 | 1,000원 | 등록일 2013.11.29
  • 디지털 논리 실험, 산술 논리 회로 실험 예비 보고
    Ⅰ. 실험목표(1) 마이크로 콘트롤러 유닛(Micro Controller Unit)의 핵심 논리회로인 산술 논리회로(Arithmetic Logic Unit)를 이해하고 구성 ... CPU와 같은 콘트롤러 유닛의 기본이 되는 동작을 수행하는 놀리회로가 산술논리장치(ALU)이다.(1) 논리연산 : 두 개의 논리 입력을 가지고 하나의 논리 출력을 가지는 논리회로 ... 를 생각해 보자. 두 개의 논리 입력 중에서 NOT 연산자를 조합하여 하나의 값만을 취해도의 네 개의 서로 다른 논리 출력을 생성하는 회로를 형성한다. 세 개의 기본 논리연산인 AND
    리포트 | 5페이지 | 1,500원 | 등록일 2009.07.18
  • [A+ 결과보고서] 아주대 논리회로실험 실험3 '가산기& 감산기'
    omplement한 1-0이다. 즉, -2이다. 2bit 2′s complement의 범위는 -2에서 1까지임을 논리회로에서 배운 결과 알고 있다.●감산기 예비보고서 결과 및 실험 결과 ... 는 결과값이 일치하였다. 사실 이 실험은 어떠한 양을 측정하는 실험이 아니고 단순히 회로도에 입력을 가했을 때, 생기는 결과가 명확히 정해져 있는 실험이므로, 실험값이 예비보고서 ... 실험 3 결과보고서1. 실험목적-Logic gates를 이용하여 가산기(adder)와 감산기(subtractor)를 구성하여 동작을 확인해본다.-디지털 시스템의 기본 요소인 가산
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • [A+ 예비보고서] 아주대 논리회로실험 실험3 '가산기& 감산기'
    실험 3 예비보고서1. 실험목적-Logic gates를 이용하여 가산기(adder)와 감산기(subtractor)를 구성하여 동작을 확인해본다.-디지털 시스템의 기본 요소인 가산 ... 에 더함으로써 구할 수 있다. 이 방법에 의하면 뺄셈은 전가산기를 사용하는 덧셈이 된다. 그러나, 뺄셈을 직접 수행하는 논리 회로를 구성하여 뺄셈을 할 수도 있다. 이번 실험에서는 전 ... 하게 설명하자면 세 비트의 덧셈을 수행하는 조합 회로를 전가산기(full adder: FA)라 하고, 캐리를 고려하지 않고 두 비트만을 더하는 조합 회로를 반가산기(half adder
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • 논리회로실험 실험2 Electrical feature of CMOS semiconductor 결과보고
    3주차 결과보고서실험 2 Electrical feature of CMOS semiconductor▶실험과정 및 결과◈ 실험 1 : Inverter의 입출력 특성 확인? 구성 사진 ... :- 결손도와 같이 회로를 구성하였다.- 2채널 파워서플라이를 이용하여 5V의 전원공급과 변수인 CH1전압을 인가.- 74HC04를 사용한다. 오실로스코프의 CH1를 IC 입력 ... 에 higC만 다른 회로구성이다.- 앞선 실험과 마찬가지로 도선과 서플라이 간에 접점과 프로브와 도선과의 접점을 흔들거나 조작할 때 그래프의 점의 커지고 오차가 커져서 그래프를 판단할 수
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • 논리회로실험 논리게이트결과보고
    실험제목: 논리 게이트(결과보고서)1. 예비조사 및 실험 내용의 이해1.1 게이트의 종류AND gate - 입력값 모두 1일때 출력 1, 그 밖은 0OR gate - 입력값중
    리포트 | 5페이지 | 1,500원 | 등록일 2008.01.14
  • 논리회로설계실험_4조_실험일(080603)_보고
    HDL Codelibrary IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity seven_seg is ..
    리포트 | 3페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080401)_보고
    Half - adderlibrary IEEE; use IEEE.std_logic_1164.all; entity half_adder is port(x,y:in std_logic; s,c:out std_logic); ..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080416)_보고
    Decoder (Data flow)HDL Codelibrary ieee; use ieee.std_logic_1164.all; entity decoder_data is port(X : in std_logic_vector(2 downto 0);..
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로설계실험_4조_실험일(080506)_보고
    CounterHDL Codelibrary IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter is Port ( clk : in S..
    리포트 | 5페이지 | 1,000원 | 등록일 2011.11.19
  • 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고
    과 목 : 논리회로설계과 제 명 : 결과보고서 4담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 4 / 171 ... . Introduction지금까지의 실험은 하나의 산술연산이나 논리연산만 계산하는 코드를 구성했다. 이번 실험은 state를 받아서 여러 가지 산술연산과 논리연산, 시프트연산을 할 수 있는 코드 ... 도록 하자. 곱셈기는 원래 10진법으로 바꿔서 계산을 한 다음 2진법으로 바꾸는데 2진법끼리 곱셈을 하는 곱셈기를 설계해보도록 하겠다.2. Design(1)어떠한 회로를 설계할 것인가 1
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 디지털 논리회로 (예비보고서)
    디지털 논리회로과목 : 물리실험이름 :학번 :학과 :제출일 :0. 논리회로(Logic Circuit)― 2진 정보를 기반으로 AND, OR, NOT 등과 같은 논리 연산에 따라 ... 동작을 수행하는 논리소자들을 사용하여 구성된 전자회로.. 논리회로의 분류0) 조합논리회로(Combinational logic circuit)회로의 출력값이 입력값에 의해서만 정해지 ... 는 논리회로로 기억능력이 없다.(반가산기, 전가산기, 디코더, 엔코더, 멀티플렉서, 디멀티플렉서)1) 순서논리회로(Sequential logic circuit)회로의 출력값이 내부
    리포트 | 5페이지 | 1,000원 | 등록일 2007.07.27 | 수정일 2014.08.09
  • 논리회로실험 멀티플렉서와 디멀티플렉서 결과보고
    139 1개Ⅲ. 실험방법실험 1. 멀티플렉서(1) Enable 입력을 갖는 4x1 멀티플렉서를 74HC20과 74HC04를 이용하여 다음 회로와 같이 구성한다. 여기서, E ... 었다. 즉 멀티플렉싱 기능이 이루어 지고 있는 것이다. 74H20과 74H04로 구성한 이 회로가 S0와 S1의 입력에 따라 D0~D3중 하나를 골라 그대로 출력 해주는 멀티플렉서 임 ... 을 확인할 수 있다. (3) 4x1 멀티플렉서 IC인 74HC153을 이용하여 다음 회로를 구성한다.(4) 다음 표와 같이 입력을 가한 뒤에 출력 Y를 측정하여 기록하라. 선택입력 값
    리포트 | 5페이지 | 1,000원 | 등록일 2010.03.20
  • 프레시홍 - 추석
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 29일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감