• 통합검색(687)
  • 리포트(542)
  • 논문(102)
  • 시험자료(21)
  • 자기소개서(11)
  • 방송통신대(11)
판매자 표지는 다운로드시 포함되지 않습니다.

"logic circuit" 검색결과 341-360 / 687건

  • IT와경영정보시스템1공통)네트워크를 구성하는 시스템들은 보통 세 가지로 분류한다. 물리적(Physical) 기준과 논리적(Logical) 기준으로 아래 요구 사항을 충족시키시오
    IT와경영정보시스템1공통)네트워크를 구성하는 시스템들은 보통 세 가지로 분류한다. 물리적(Physical) 기준과 논리적(Logical) 기준으로 아래 요구 사항을 충족시키시오0 ... 과 논리적(Logical) 기준 등이 있다. 이를 기준으로 아래 요구 사항을 충족시키시오.(1) 네트워크를 구성하는 물리적 시스템 세 가지를 제시하고 이들의 기능을 간략히 요약하시오 ... 를 구성하는 시스템들은 보통 세 가지로 분류한다. 또한 네트워크 시스템을 분류할 때 사용하는 기준들에는 물리적(Physical) 기준과 논리적(Logical) 기준 등이 있다. 이
    방송통신대 | 5페이지 | 4,000원 | 등록일 2014.09.19
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    이 될 것이고 led에서는 xxoooxxo로 출력이 될 것이다.(4)Discuss how your circuit works1)ALU위에서 확인한 바와 같이 S3를 선택하여 두번 ... )Provide the simulation result and the code1)8BIT ALUlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use ... IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity alu isPort (a : in std_logic;b : in std
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • Gray code converter design - 디지털회로설계 프로젝트1
    하여 최적의 logic network를 구현한다. 그리고 이 설계를 이용하여 VHDL로 Coding하고 결과를 시뮬레이션 한다.3. 이론(1)Binary Code컴퓨터는 0과 1만 ... )VHDLVHDL(Very high speed integrated circuit Hardware Description Language)은 하드웨어 기술 언어(HDL:Hardware ... Description Language)들 중의 하나이다. 1980년대 integrated circuit의 빠른 발전에 따라 digital circuits의 표준 디자인 도구를 개발
    리포트 | 11페이지 | 1,500원 | 등록일 2014.02.17
  • 실험2 제08주 Lab06 Post 4 Bit Shift Register
    and description of Lab 1 (4-bit Shift Register)< 4-bit Shift Register를 Logic circuit에 따라 Behavioral ... of this LabCombinational Circuit(조합 논리 회로)에 이어 Sequential Circuit(순차회로)의 작동원리를 이해하고 Flip Flop의 특성 ... description of Lab 2 (4-bit Shift Register Included One Shot Enable)< 4-bit Shift Register를 Logic
    리포트 | 14페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 전기전자기초실험 Chapter 10 Flip-Flop andCounter DesignReport
    in D Flip-Flop.Since Logic circuit are not ideal in reality, change of signal is not applied ... instantly on circuits and logic circuit needs time to understand and calculate the signals. Setup time(Tsu ... application of flip-flops.Filp-flop is the simple logic circuit that using clock signal and past output
    리포트 | 4페이지 | 1,000원 | 등록일 2011.12.18
  • 실험2 제04주 Lab02 Post 4 Bit Full Adder
    table과 Logic circuit을 우선적으로 만들 수 있어야 한다고 생각한다.5. Reference Hyperlink "http://club.uos.ac.kr/lect ... data and description of Lab 1 (Half adder)InputLED1(SUM bit)LED2(Carrybit)SW1-On / SW2-OnA-Logic ‘0 ... ’ / B-Logic ‘0’OffOffSW1-On / SW2-OffA-Logic ‘0’ / B-Logic ‘1’OnOffSW1-Off / SW2-OnA-Logic ‘1’ / B
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • OP AMP
    쓰이지만 그 밖에도 여러 가지 용도가 있다. 예를 들면, 논리 회로(logic circuit)를 구성하는 소자 등의 스위칭(switching)에는 다이오드가 많이 사용된다. 또 ... ]1.4982.4894.485.2op amp - 고찰Op-Amp 실험에서는 M-7 OP AMP의 Circuit-1 Inverting AMP.와 Circuit-4 Non
    리포트 | 15페이지 | 1,000원 | 등록일 2016.06.06
  • [기초전기전자실험] 실험 20 plc제어 실험 보고서 [A+받은 자료]
    )와 PLC(Programmable Logic Controller)의 구조를 이해하고 CNC로 형상을 가공한 후, 제품을 Robot을 이용하여 belt-conveyer로 이동시킨 후 ... 적으로 공작물 가공을 가능하게 하는 기능을 담당.- PLC(Programmable Logic Control) : 공구의 교환, 주축의 회전수 제어, 공작물의 교환제어 기계 입출력 신호처리 ... 등을 순차 제어하는 기능으로서, NCK가 담당하는 서보제어 부분을 제외한 각종 기계동작을 위한 보조적인 제어 기능을 담당.■ PLC 의 정의PLC(Programmable Logic
    리포트 | 12페이지 | 2,500원 | 등록일 2016.01.03 | 수정일 2016.04.08
  • 판매자 표지 자료 표지
    팀 프로젝트 디지털시계 설계 및 구현
    Digital Logic Circuit Experiment TP# Digital ClockGroup. 4Submission date. 2013. 11. 26Participants
    리포트 | 11페이지 | 2,500원 | 등록일 2017.10.21 | 수정일 2017.12.27
  • 디지털로직실험 논리프로브 구성
    실험 2 논리 프로브 구성-조2015/--/-- 학번: -이름: -실험 목표:□ 7404 인버터를 사용한 간단한 논리 프로브(logic probe) 구성.□ 구성된 논리 프로브 ... .Every Circuit 어플리케이션을 이용해 만든 실제로 실험했던 회로도- 프로브 부분의 전압을 0V~5V 로 변화 시킬 때 흐르는 전류를 눈으로 확인 할 수 있다. 이에 따른 LOW
    리포트 | 7페이지 | 1,000원 | 등록일 2015.07.20
  • 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고서
    --시프트연산case s(4 downto 3) iswhen "00" => o o o o null;end case;-입력과 출력a : in std_logic_vector(7 ... downto 0);b : in std_logic_vector(7 downto 0);cin,rst : in std_logic;s : in std_logic_vector(5 ... downto 0);o : out std_logic_vector(7 downto 0));a와 b는 입력받는 두 개의 상태이고 s는 연산을 결정해주는 값이다. 위 4개는 입력이고 마지막에 o
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • PLC를 이용한 배종 감지 시스템 제어 및 직파기 용량 산출에 관한 연구
    한국기계기술학회 이학성
    논문 | 5페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • MIS - KENNETH LAUDON CH5
    ∵ large customersComputer Hardware Platforms∙ Blade servers : computers consisting of a circuit board ... multiple logical resources- enables multiple physical resources to appear as a single logical resource
    리포트 | 4페이지 | 1,000원 | 등록일 2014.12.25
  • 디지털시스템 설계 MealyMachine 및 ALU Verilog 구현 및 테스트벤치
    리포트과목 :학과 :학번 :Prob. 1) Verilog coding and simulation for 4-bits ALU(a) Draw a detailed circuit ... diagram of 4-Bits ALU, which operates logical AND, OR,XOR and 2’s complement adder/subtractor with ... logical AND, OR, XOR and 2’s complement adder/subtractorwaveforms using testbenches.Compare the s
    리포트 | 9페이지 | 2,000원 | 등록일 2012.11.14
  • basiclogic
    fundamental logic gates – the AND, OR, NOT, NAND, NOR and XOR circuits.ChangesThere were no changes to ... 00010011010001111000101011001110Logic circuitOverview & ConclusionEven though it was the first time to use IDL-800 ... Laboratory ExperimentBasic Logic FunctionsExperiment GoalsTo familiarize with the laboratory
    리포트 | 6페이지 | 1,000원 | 등록일 2012.02.11
  • 설계제안서(5bit Integer Divider by 3 and the A through J)
    multiple-level optimization Draw a logic diagram or provide a netlist for the resulting circuit using ... a specification for the circuit if one is not already available Formulation Derive a truth table or ... ANDs, ORs, and invertersTheoryTechnology Mapping Map the logic diagram or netlist to the
    리포트 | 20페이지 | 2,000원 | 등록일 2012.11.01
  • Combinational Logic Design Using FPGAs
    logic circuits and how to synthesize my design. While I doing the prelab, I got used to some basic ... ;assign g =(~x|~y|~z)&(x|y|z);endmodule[Figure3_Logic Circuit][Figure4_Simulated Graph with Test Bench] ... &y&z)|(x&y&z);endmodule[Figure7_Logic Circuit][Figure8_Simulated Graph with Test Bench]-Test
    리포트 | 14페이지 | 1,000원 | 등록일 2012.02.11
  • 실험6. 논리조합회로의 설계
    하면 이론적으로 어떠한 논리적 함수관계도 표현이 가능하다. 이러한 논리게이트들로만 이루어진 회로를 조합논리회로(combinational logic circuit)라 한다. 조합논리
    리포트 | 25페이지 | 3,500원 | 등록일 2018.03.04
  • 전전컴설계실험2-9주차예비
    -9주차 Pre Lab#7-Sequential-Logic-Design(FSM and Clocked-Counter)학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 ... 된 디바이스를 검색한다.11. 검색된 FPGA 모듈에 4-bit up counter Logic이 설계된 bit 파일을 프로그래밍한다.12. 프로그래밍이 성공하면 장비의 작동을 확인 ... ounter Logic이 설계된 bit 파일을 프로그래밍한다.12. 프로그래밍이 성공하면 장비의 작동을 확인하여 예상값과 결과값을 비교한다.-Lab 31. Xilinx ISE S
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 데이터통신 레포트-switch와 router, Packet-switched network,Transport layer,wibro
    계층, transport계층, application계층 이렇게 5개의 계층으로 나누어집니다.2. .Circuit switching, Message switching, Packet ... . Transport layer에서 connection을 여는 이유와 buffering이 필요한 이유를 설명 하시요. 또 transport layers간의 logical c ... -switched network에서 Datagram 방식과 virtual circuit방식을 비교 설명하시오. 특히 왜 virtual circuit 같은 방식이 필요한가를 설명하시오.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.07 | 수정일 2013.12.24
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 09일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감