• 통합검색(687)
  • 리포트(542)
  • 논문(102)
  • 시험자료(21)
  • 자기소개서(11)
  • 방송통신대(11)
판매자 표지는 다운로드시 포함되지 않습니다.

"logic circuit" 검색결과 281-300 / 687건

  • 디지털 시스템 실험 Simple Computer 1 - Data Path 예비보고서
    }의 입력을 통해 8가지의 산술 연산을 수행한다.Arithmetic Circuit은 다음과 같은 회로 구성을 통해 구현할 수 있다.4.1.2 Logic CircuitLogic ... Circuit은 입력 A,B에 대해 {S1,S0}의 Selection Bit에 따라 AND, OR, XOR, NOT의 논리 연산을 수행하는 회로이다. 다음은 Logic Circuit ... *//***************************************************************************************************//*Logic Circuit *//* INPUT : Adata, Bdata, S1, S
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • VHDL에 의한 논리 시스템 해석 및 설계
    imulation하여 동작을 확인 할 수 있을 것.다. Logic system용 소프트 웨어를 사용하여 그 언어를 사용하여 표현된 것을 논리회로로 변환 할 수 있을 것. 그 언어 ... - CAE (Computer Aided Engineering : CAD에 수치 계산을 첨가한 설계). Gate Level design : Schematic capture 와 Logic ... 하다.다. VHDLVHDL이란 VHSIC(Very Speed Integrated Circuit) HDL(Hardware Description Language)의 준말로써 1983년 DOD
    리포트 | 38페이지 | 5,000원 | 등록일 2017.12.30
  • 논리게이트 예비
    Circuit)는 여러 가지 종류가 있지만, 현재 디지털 회로에 널리 사용되고 있는 집적회로로는 제조하는 기술에 따라 TTL(Transistor-Transistor Logic)타입과 CMOS ... REPORT예비보고서실험 목적논리 게이트의 동작 방법을 알아본다.TTL 논리 게이트의 문턱 전압에 대해 알아본다.기초 이론논리 게이트(Logic gate)컴퓨터 회로를 설계
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.23
  • Lab#05 Combinational Logic Design 2
    . Referrence261. Introduction가. Purpose of this labVerilog HDL을 통하여 Combinational Logic Circuit을 설계한다.나 ... Post-Lab ReportLab#05 Combinational Logic Design 2@ Decoder, Encoder and Mux담당 교수강 상 혁담당 조교실 험 일실 험 ... . Essential Backgrounds1) Combinational Circuit의 정의조합회로는 어떤 시점에 대해서도 출력값이 그 시점의 입력값으로 정해지는 논리 회로를 의미
    리포트 | 26페이지 | 1,500원 | 등록일 2016.09.11
  • 8. 물리 실험 예비 보고서(정류회로)
    를 들면, 논리 회로(logic circuit)를 구성하는 소자 등의 스위칭(switching)에는 다이오드가 많이 사용된다. 또 다이오드에는 많은 종류가 있으며 특성이 다르다. 예
    리포트 | 1페이지 | 1,000원 | 등록일 2017.05.31
  • 디지털논리회로 멀티심(multisim) 과제
    +AC같은 값임을 확인할 수 있다.마지막으로 이것을 회로로 나타내면(simplified logic circuit),다음과 같이 간소화된 회로를 얻을 수 있다.? 소감개인 ... 3-6) Use Multisim to perform the logic simplification shown in Figure 4-18.ㆍ이 문제는 복잡한(혹은 별 연산 없이 복잡 ... 화 된 회로를 재구성하여 나타내는 ‘Logic converter’에 대해알아보는 문제라 볼 수 있겠다.일단, 회로를 구성하면 아래와 같은 회로를 얻을 수 있다.(3-input or
    리포트 | 6페이지 | 2,000원 | 등록일 2017.03.03
  • fpga란
    FPGA란?FPGA (Field Programmable Gate Array) 는 PLD(Programmable Logic Device) 와 프로그램이 가능한 내부선이 포함된 반도체 ... 차이점은 구조적인 차이이다. CPLD는 기본구조를 PAL(Programmable Array Logic)에서 가져왔고, FPGA는 ASIC 의 Gate Array에서 가져왔 ... circuit)으로 변환이 가능하다. 또한 FPGA는 개발시간이 짧고 초기 개발비가 적게 드는 장점이 있다. 그러나 FPGA는 속도가 느리며 비교적 복잡한 설계에 적용이 불가능하다. 또한
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • 디지털시스템실험 12주차 결과리포트
    /*******************************************************//*******************************************************//*Logic Circuit *//* INPUT : Adata ... :2],ControlWord[1], ControlWord[0],MW, Constant, PC);DataPath_Circuit U2(CLK, ControlWord, Constant ... = DataIn;endmodule/*******************************************************//*DATAPATH Circuit
    리포트 | 10페이지 | 2,000원 | 등록일 2018.01.03
  • LG하우시스 경력 PPT 자료
    보유 기술 FIRMWARE CIRCUIT Device Interface 8/16bit MCU : MSP430, Atmega64 , nRF24e1 32bit CPU : S3C2440 ... Interface 구현 FT601 을 이용한 USB3.0 Interface 구현 DP501 을 이용한 DisplayPort 1.1 구현 Image Processing Logic
    자기소개서 | 5페이지 | 3,000원 | 등록일 2018.12.19 | 수정일 2019.01.23
  • [컴기설2 보고서] Shifter & Counter
    에 대해 한다. Sequential logic인 Shifter와 Counter의 동작 원리를 이해하고 flip-flop과 combinational logic을 이용하여 설계해보도록 한다 ... 을 사용한다.Coding the module headerCoding state register (flipflops) – sequential circuitsCoding c ... ombinational circuitsFSM은 동작 방식에 따라 Moore FSM과 Mealy FSM으로 구분된다. Moore FSM의 output은 오직 current state(현재 상태
    리포트 | 18페이지 | 1,500원 | 등록일 2015.03.17
  • VHDL이란?
    을 설계하기 위해서는 이에 따른 표준화된 프로그래밍 언어설계가 필요하게 되었다. VHDL(Very High Speed Integrated Circuit Hardware ... port가 선언되었다. input은 a,b output은 z이다. 그리고 port type은 IN_STD_LOGIC이다.-Architecture body-여기서 이름(nand ... declaration을 해줘야 한다. 위에서 선언한 Library는 ieee로 설계자는 ieee 라이브러리의 std_logic_1164란 package를 사용하려고 하는 것이다. std
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • 디지털논리회로verilog(full adder, 4bit full adder, comparator, 4bit comparator)
    000111100010111010S= a(Xor)b(Xor)Cin,x ycin000111100001010111Cout=a*b+a*Cin+b*Cin d이 된다.이를 바탕으로 logic circuit을 구현
    리포트 | 6페이지 | 1,000원 | 등록일 2017.01.06
  • 논리회로실험 예비보고서1 Basic Gates
    1. Basic Gates실험목적? Logic gates의 종류에 대해 알아보고, gate를 이용하여 회로를 구성하는 것에 익숙해진다.? Gate를 이용하여 회로를 구성 ... 알아본다.실험이론? Logic gates디지털 회로의 가장 기본적인 요소로써 대부분이 두 개의 입력과 하나의 출력으로 구성된다. 처리하는 데이터에 따라서 각 단자는 2진수 상태가 되 ... 는데, 0은 0V, 1은 약 5V 정도의 전압이 유지된다. 기본적인 Logic gate는 AND, OR, XOR, NOT, NAND, NOR 등이 있
    리포트 | 14페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • [컴퓨터공학기초설계및실험2 보고서] Traffic Light Controller
    인 Moore FSM을 이해한다. 구현하려는 신호등을 제어하는 logic이 만족해야 하는 규칙에 대해 이해한다. 이해한 내용을 바탕으로 Moore FSM을 적용하여 traffic ... input change와 current state에 따라 상태와 output을 바꾸는 any machine이다. Sequential logic 설계 단계에 맞추어 Finite ... register (flip-flops) sequential circuitsCoding combinational circuitsFSM은 동작 방식에 따라 Moore FSM과 Mealy FSM
    리포트 | 11페이지 | 1,500원 | 등록일 2015.04.12
  • 2017-신호및시스템-과제1
    를 보낸다 . 제어 시스템이 신호를 받아 모터를 작동시켜 문 아래의 바퀴를 조종해 문을 여닫는다 . clock paced sequential logic circuit 이 감지된 작동
    리포트 | 9페이지 | 1,000원 | 등록일 2017.12.01
  • 555timer
    -)일 때 0V가 출력되는 로우 상태와, 전원 공급이 (+)일 때 +V가 출력되는 하이 상태이다. 로우 일때는 Space 혹은 Logic 0, 하이 일때는 Mark 혹은 Logic 1 ... 로 나타낸 후 not gate 회로의 hysteresis를 관찰 한다.5. 실험결과Monostable circuitR _{1}=731.0k` ohm+-0.5C _{1} =2.150
    리포트 | 11페이지 | 1,500원 | 등록일 2019.03.23
  • 조합논리 해석 및 설계 논리 게이트
    구현된 회로를 조합 논리 회로(Combinational Logic circuit)또는 조합 회로라 하며 이를 그림으로 표현한 것을 논리도 또는 논리회로도라고 부른다.간단한 조합논리 ... Logic어 플러스로 대전한다.물체에 대전한 전하량은 물체의 성질, 마찰의 조건등에 의존하며, 일반적으로 플라스틱 종류나 화학섬유류는 대전하기 쉽고 그 대전량도 많다. 또한 정전기 전 ... 를 가진 대규모 집적회로로서 메모리, 프로그램 가능소자(Programmable Logic Device, PLD) 등이며, VLSI(Very Large-Scale Integration
    리포트 | 24페이지 | 4,000원 | 등록일 2017.12.31
  • 실험2 제09주 Lab07 Pre FSM
    ounter의 Logic Circuit(Diagram)과 Truth table을 바탕으로 4-bit Up Counter의 Verilog Code를 작성한다.② 각 Element ... of Lab 2① 8-bit Up/Down counter의 Logic Circuit(Diagram)과 Truth table을 바탕으로 8-bit Up/DownCounter ... Simulation과 일치하는지 확인한다.3) Procedure of Lab 3① Logic Circuit(Diagram)과 Truth table을 바탕으로 자판기의 Verilog Code
    리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.14
  • [논리회로실험] 실험1. 기본 게이트 설계
    your circuit does이번 사용할 회로는 단순한 논리 게이트들 이다. 실험에 사용할 게이트는 총 3개로 AND 게이트, OR 게이트, XOR 게이트를 사용한다.AND 게이트 ... 다.④ Discuss how your circuit works회로는 아래와 같이 작동된다.표 SEQ 표 \* ARABIC 4 circuit worksinputOutputabc ... 다.library ieee;use ieee.std_logic_1164.all;entity basic_gate_TB isend entity basic_gate_TB
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.22
  • 실험2 제07주 Lab05 Pre Mux & DMux & BCD
    ) Procedure of Lab 1① 2-bit 2:1 Multiplexer의 Logic Circuit과 Truth table을 바탕으로 2:1 Mux의 Verilog Code를 작성 ... :1 Multiplexer의 Logic Circuit과 Truth table을 바탕으로 4:1 Mux의 Verilog Code를 작성한다.② Configure Device ... 의 Logic Circuit과 Truth table을 바탕으로 BCD to Excess-3code converter의 Verilog Code를 작성한다.② Configure Device
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 08일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감