• 통큰쿠폰이벤트-통합
  • 통합검색(9,509)
  • 리포트(8,356)
  • 자기소개서(634)
  • 시험자료(293)
  • 방송통신대(167)
  • 논문(50)
  • 서식(5)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로" 검색결과 1,701-1,720 / 9,509건

  • 결과보고서 // 9.순서논리회로의 해석과 설계 10.비동기식계수기 11.동기식계수기
    게이트를 통해 CLR을 작동시켜 초기화를 시킬 수 있다.*결론 및 고찰비동기식 count-up계수기와 count-down계수기는 생각보다 회로가 간단하여 어려움없이 실험을 성ㄱㅇ시킬 ... 수 있었다.up-카운터와 down카운터의 회로와 펄스파형을 통해서 동작원리를 정확히 알게 되었다.10진 계수기를 설계할 때 교재에 있는 회로를 보고하였는데 제대로 작동하지 않 ... 았다.실험시간엔 시간이 모자라 원인을 파악할 수 없었는데, 교재의 회로에서 Q1과 Q3에 1이 발생시 NAND게이트를 통해 0이 CLR로 들어가기 때문에 초기화가 되지 않았을 것이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2010.11.17 | 수정일 2018.09.10
  • [논리회로]병렬 로드를 가지는 4bit 양방향 시프트 레지스터
    2. 프로그램 소스(1) mux.vhdlibrary ieee;use ieee.std_logic_1164.all;entity mux4x1 is port( data1, data2, data3, data4: in std_logic; sel ..
    리포트 | 8페이지 | 1,500원 | 등록일 2006.05.29
  • [디지털]디지털논리회로실험 11,13,14장 예비 레포트
    순차논리회로(Sequential Logic Circuit)는 입력에 의해서만 출력이 결정되는 조합논리회로(Combinational Logic Circuit)와는 달리 입력신호 이외 ... 에 현재의 출력상태 일부가 입력으로 피드백 되어 최종 출력을 결정하는 회로이다.이러한 순차논리회로의 가장 기본이 되는 회로가 플립 플롭 회로이다.플립 플롭(FF:Flip-Flop ... 되는 플립 플롭이다. D 플립 플롭의 논리기호, 논리회로, 진리표 및 동작 펄스 파형은 다음과 같다.DQCLKQ'InputOutputDClockQ00현재상태10현재상태010111(4
    리포트 | 18페이지 | 1,500원 | 등록일 2006.05.11
  • [논리회로] 2비트 비교기
    이다. 2개의 N비트의 수를 비교하기 위한 회로는 22N개의 기입항을 진리표로 가지고 있으며 3비트 이상만 해도 번거롭게 된다. A가 B보다 큰가 또는 작은가를 결정하기 위해서
    리포트 | 4페이지 | 1,000원 | 등록일 2002.03.26
  • 실험 7. FPGA를 이용한 4비트 산술논리회로의 구현 및 검증
    실험 7. FPGA를 이용한 4비트 산술논리회로의 구현 및 검증목적 ;1. MyCAD에서 합성한 회로를 FPGA로 구현하는 방법을 익힌다.2. 실험 6에서 설계한 4비트 산술논리 ... 까지의 실험처럼 개별 논리회로 소자를 이용하여 회로를 구현하는 것은 비용 및 시간이 많이 소요된다. 따라서 IC 칩으로 구현하기 이전에 FPGA (Field Programmable ... 하시오1. 실험 6의 의 4비트 산술논리회로를 설계한다.2. 1.에서 설계된 회로를 심볼화 시킨 후, 와 같이 입/출력 버퍼를 추가하여 구성하시오. 4비트 산술논리회로(버퍼 추가
    리포트 | 3페이지 | 무료 | 등록일 2006.12.20
  • [회로이론] 10진카운터, 디지털 논리회로 예비레포트
    한다.{-집적 회로의 종류, 규격{2. 디지털 논리회로(1)디지털 회로디지털 컴퓨터는 자료의 처리, 수치계산, 통신용 교환기, 가전제품, 산업 기기 등 여러 분야에서 활용되고 있 ... 는 변수가 갖는 모든 가능한 조합을 표로 만들어 놓은 것이다.{2진 변수의 사용과 2진 논리의 응용은 아래 그림과 같이 간단한 스위칭 회로에 의해 설명된다. (a)는 A와 B ... High 신호가 입력되거나 Low 신호가 출력되고 다를 경우는 High신호가 출력된다. 따라서 반일치회로 또는 베타적 논리회로라고 한다. 이에반해 Ex-NOR 게이트는 이
    리포트 | 21페이지 | 1,000원 | 등록일 2003.03.27
  • [디지털 논리회로 설계] 비동기식 / 동기식 카운터
    실험 9, 10 비동기식 / 동기식 카운터1. 실험목적 :* 비동기식으로 리플카운터형태의 이진카운터를 구성하고 최대 동작 주파수 등의 회로 특성을 측정한다.* 동기식 계수기 2 ... 종류(이진, ÷계수기)를 설계하고 이의 동작을 확인한다.2. 관련이론1) 동기식 순차회로와 비동기식 순차회로순차회로는 동기식 순차회로와 비동기식 순차회로로 구분할 수 있다. 동기식 ... 순차회로회로 구성에 사용된 모든 플립플롭들이 하나의 공통 클럭을 동시에 공급받도록 구성된 회로를 말한다. 이에 반해 비동기식 순차회로는 플립플롭들이 서로 다른 클럭을 사용
    리포트 | 8페이지 | 1,000원 | 등록일 2005.05.21
  • 부울대수와 조합논리 회로
    실험2. 부울대수 및 조합 논리 회로과 목내 용학 번성 명제 출 일담 당 교 수디지탈실험예비보고서199803047곽 용 일2002. 9. 12정 슬 교수님◈ 실험 목적- 각 소자 ... 들을 이용하여 논리 회로를 설계한다.- 부울 대수를 이용하여 회로를 단순화 할 수 있다.◈ 실험 이론1. 부울 대수(Boolean Algebra)입력이 A, B 그리고 C인 3개 ... 의 입력으로 조합된 논리회로의 출력 F가 다음과 같이 표현된다고 하자.F = A cdotB cdotC + B cdot C + 1이때 입력과 출력의 관계를 수식적으로 나타내는 함수
    리포트 | 5페이지 | 1,000원 | 등록일 2002.12.06
  • [논리회로] 플립플롭(Flip-Flop)
    레지스터를 구현하고 동작을 확인한다.2. 이론- 플립플롭(flipflop)플립플롭은 출력으로 1과 0의 두 가지 값을 갖는다. TTL 회로에서 1은 +5Vdc, 0은 0Vdc에 해당 ... 는 회로이기 때문에 2안정(bistable) 회로라고도 하고 이진 정보의 기억, 주파수 분할, 카운터 제작 등 여러 가지 디지털 회로에서 응용되는 회로이다.- 기본적인 S-R 플립플롭 ... 와 반대의 값을 가지게 되는 출력이다.기본적인 S-R 플립플롭의 회로 기호는 그림 9-1(b)와 같고, 진리표는 그림 9-1(c)에 나타나 있다. 진리표의 첫 번째 사항의 입력
    리포트 | 6페이지 | 1,000원 | 등록일 2002.11.24
  • [전자공학 예비보고서] digital 논리회로
    1. 목 표digital 논리회로의 하나인 TTL NAND gate의 동작원리를 알아보고 출력측에 같은 종류의 소자가 몇 개나 부하로 연결될 수 있는지를 나타내는 fan-out ... {beta_R =0.1□논리 0/1에 해당하는 아날로그 전위{V(0)=V_CE,sat =0.2[V], {V(1)=V_CC =5[V]※ {Q_11 /Q_22와 {Q_2로만 구성되어 있 ... 를 saturation시 켜서 그 collector전위가{v_Y =v_C2 =V_CE,sat =0.2[V](low)가 되도록 하기에 충분하도록 회로가 만들어지는 것이 정상이다.B1
    리포트 | 9페이지 | 1,000원 | 등록일 2003.12.20
  • [컴퓨터] 디지털논리회로 (컴퓨시스템 구조)
    ..PAGE:1컴퓨터 시스템 구조Chap. 1 디지털 논리 회로..PAGE:21.1 디지털 컴퓨터디지탈 컴퓨터- 여러가지 계산을 수행하는 디지탈 시스템- 0과 1의 두개의 숫자 ... 논리연산 부분. 데이터를 저장하는 레지스터(Register). 명령어를 가져와 수행하는 제어회로▷ 기억장치(Random Access Memory;RAM). 명령어와 데이터를 저장 ... - 물리적 량인 전압신호를 이용- 0과 1로 표시2진 정보의 처리- Gate라는 논리회로에서 처리Gate- 입력논리의 필요조건에 따라 1 또는 0의 신호를 만드는 하드웨어 블럭
    리포트 | 30페이지 | 1,000원 | 등록일 2003.12.17
  • [논리회로] 4비트 동기식 카운터
    {4비트 동기식 카운터. 목 적. 4비트 동기식 카운터의 개념과 동작 특성을 익히고, PLD를 이용한 회로 구현과 시뮬레이션을 통한 회로의 검증을 수행한다.. 기 본 이 론카운터 ... 는 순차회로들 중에서 가장 간단한 회로라고 할 수 있다. 카운터는 대부분 입력펄스가 가해질 때 앞서 설명한 시퀀스처럼 상태가 변화되는 2개 이상의 플립-플롭으로 구성된다. 플립-플 ... X1X2X3X44. 기술된 부울식을 이용하여 게이트로 회로를 구현하시오.{{※ 고찰 및 검토이번 실험은 동기식 회로의 동작 원리를 이해하는 것이었다. 이번 실험은 A의 입력
    리포트 | 4페이지 | 5,000원 | 등록일 2002.11.07 | 수정일 2017.02.21
  • 서강대학교 컴퓨터학실험II 4주차 예비레포트 3 / 논리 및 연산회로
    2진수의 연산법반가산기 및 전가산기의 이론반감산기 및 전감산기의 이론2`s complement 연산법일반 조합회로의 설계절차K-map을 사용한 최소화 기법BCD to 2421 부호변환기의 진리표2진-Gray 부호변환기의 진리표Boolean 함수의 NAND 게이트만의 수..
    리포트 | 4페이지 | 1,500원 | 등록일 2008.06.18
  • [논리회로] 4비트 동기식 카운터
    4비트 동기식 카운터◎ 기본이론카운터는 순차회로들 중에서 가장 간단한 회로라고 할 수 있다. 카운터는 대부분 입력펄스가 가해질 때 앞서 설명한 시퀀스처럼 상태가 변화되는 2개 ... 의 그림은 2진 카운터는 회로를 통한 추적신호(tracing signal)를 정확하게 계산함으로써 정상동작 상태를 확인할 수가 있다. 초기에 ABC = 000일 경우 첫 번째 펄스
    리포트 | 5페이지 | 1,000원 | 등록일 2002.12.21
  • [논리회로] Hexadecimal to ASCII 코드 변환기
    만 입출력 장치들은 보통 10진수를 사용한다. 또한 대부분의 논리회로는 이산적인 0과 1의 두 가지 값만을 갖는 신호를 사용하기 때문에 10진수도 2진 신호에 의해 코드화되어야 한다
    리포트 | 9페이지 | 1,000원 | 등록일 2003.08.14
  • 논리회로 실험 Latch & Flip-Flop 래치 & 플립플롭 결과 레포트
    을 서약합니다.학 부: 전자공학부제출일: 07.10.13과목명: 논리회로 실험교수명: 박성진 교수님조: 10조학 번: 200320474 200320463성 명: 이준범 이영길실험 ... 5.래치와 플립플롭(Latcj & Flip- flop)실험 1.예비과제 1에서 구한 R-S latch를 구성한 후 출력을 측정하라.《 R-S Latch의 회로 구성도 》《 R-S ... Latch의 실험 사진 》회 로 도《예비회로에서 조사한 R-S Latch 》SRQ00사용안함(undefined)01110011변화없음(Latch)《R-S Latch의 Truth
    리포트 | 9페이지 | 3,000원 | 등록일 2007.10.14
  • [디지털 논리회로] 학습지도안
    디지털 논리 회로 학습지도안ㆍ일 시 : 2001년 10월 5일 (금) 3교시ㆍ장 소 : 1103ㆍ대 상 : 경동대학교 3학년 교직 이수 자ㆍ지도교사 : 노 진호 교수님ㆍ지도학생 ... : 지 선종1. 단원명대단원 : Ⅸ 디지털 논리 회로소단원 : 1) 수의 표현2) 2진-10진수 변환과 2진수의 사칙 연산3) 기본 논리 소자4) 논리 대수와 기초 논리 회로2 ... . 단원의 개관1) 교재면인간이 여러 가지 기계나 장치에 논리적인 일을 시킬 때에는 그 목적에 따라 기계가 논리적 판단을 할 수 있도록 전자 회로를 구성할 필요가 있으며, 이 때 사용
    리포트 | 7페이지 | 1,000원 | 등록일 2001.11.16
  • [논리회로실험] AND,OR,NOT 예비보고서
    1. 실험. 1. AND,OR.NOT 게이트2. 이론○ 논리 회로( Logic gate)란?0과 1의 값을 입력받은 후, 이를 가공하여 출력시켜주는 회로를 일컫 ... 는다.transistor 나 diode를 작은 반도체 웨이퍼 상에 가공한 직접회로(integrated circuir: IC)의 형태로 우리가 사용할 수 있다. 이들 IC는 전압의 크기로 논리상태 ... 것을 이용하면 두 논리상태를 가지는 연산을 쉽게 할 수 있다.다시 말해 논리회로란 부울 대수를 전기적으로 연산하여 결과를 만들어 내는 장치라 말 할 수 있다. 부울 대수의 기초
    리포트 | 8페이지 | 2,000원 | 등록일 2004.07.23
  • [논리회로] BCD 7 - 세그먼트 디코더
    {BCD 7 - 세그먼트 디코더. 목 적. 7-세그먼트의 구조와 동작특성을 익히고, 7-세그먼트 디코더를 PLD를 이용한 회로 구현과 시뮬레이션을 통한 회로의 검증을 수행
    리포트 | 2페이지 | 5,000원 | 등록일 2002.11.07 | 수정일 2017.02.21
  • [논리회로실험] Exclusive-OR와 응용
    를 나타 낸다.이진수의 합을 구하는 논리회로반가산기의 진리표(S : 합, C : 오름)XYS= X YC=XY*************1013. 반감산기두 개의 입력 X, Y를 받아 두 개 ... 의 출력을 내보낸다. B는 borrow 비트를 나타낸다.1) 2진수의 차를 구하는 논리회로2) 진 리 표(B : 빌림, D : 차)XYD=X+YB=X`Y ... . Exclusive-OR데이터의 두 비트를 비교하는 논리이다. 두 비트가 같으면 EOR의 출력은 0의 논리를 갖고, 같지 않으면 1의 논리를 갖는다.1) 서로 다른 입력에 대해서만 출력 1을 내
    리포트 | 5페이지 | 1,000원 | 등록일 2002.10.30
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 31일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:53 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감