• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(21,177)
  • 리포트(19,198)
  • 시험자료(1,100)
  • 방송통신대(422)
  • 자기소개서(232)
  • 논문(109)
  • ppt테마(66)
  • 서식(35)
  • 노하우(9)
  • 이력서(5)
  • 전문자료(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"4비트" 검색결과 121-140 / 21,177건

  • [A0받은자료] 텀프로젝트 2개의 4bit 입력 계산기 설계
    1. 제목 및 목적 1) 제목 계산기설계. 2) 목적 2개의 4bit 입력에 대한 덧셈, 뺄셈, 곱셈이 가능한 계산기 설계를 목적으로 한다.2. 설계과정 및 설명 ... . 결론적으로 1번째 입력은 A0,A1,A2,A3에 저장이되고 2번째 입력은 B0,B1,B2,B3,B4(0) 에 저장이된다. (2번째 입력값을 B4까지 출력하는 이유는 뺄셈할 때 2의보수화를 취해주기위해서이다.)
    리포트 | 8페이지 | 1,500원 | 등록일 2017.06.23 | 수정일 2018.07.07
  • VHDL을 이용한 2비트 감산기, 4비트 가감산기
    REPORT1. 2비트 감산기- 209페이지 Source 코드 참고entity sub2 isport ( A : in STD_LOGIC ... _vector(2 downto 0);beginTMP
    리포트 | 9페이지 | 2,000원 | 등록일 2011.06.22
  • 4비트 2진 비교기 설계.
    학 과 : 전자 시스템 공학 학 번 : 이 름 : 제출일 : 담당교수 : 디지털공학 (2) 4 비트 2 진 비교기 설계4 비트 2 진 비교기 설계 다음과 같이 4 비트 2 진 ... circuit) 를 구현하여 전체회로를 완성하시오 . ❏ 문제 ❏ 상 태 표 (State Table)4 비트 2 진 비교기 설계 ❏ 천 이 표 (Transition Table) ❏ 상 ... 태 맵 ( 입력부 출력부 ) 상태할당 S0- 0, S1- 01,S2- X,S3- X4 비트 2 진 비교기 설계 ❏ Typical Cell( 입 력 부 ) ❏ Cell3 을 D-F
    리포트 | 5페이지 | 2,000원 | 등록일 2012.05.15
  • 4-bit Right Shift Register
    과 목 :과 제 명 :담당교수 :학 과 :학 년 :이 름 :학 번 :제 출 일 : 2010. 4. 15. 목4-bit Right Shift Register1. Purpose4 ... bit shift register의 동작원리와 기능을 이해한다. vhdl code안에서 process문과 if문이 어떻게 쓰이는지도 알아본다. ISE프로그램을 이용하여 4 bit ... problem.4 bit shift register는 클럭(CLK)이 인가될 때 왼쪽 또는 오른쪽 방향으로 데이터를 쉬프트 하는 것인데 이번 과제는 right shift
    리포트 | 9페이지 | 1,500원 | 등록일 2011.06.06
  • [실습보고서] 4비트 버스제어기 설계
    3주차 실습보고서OrCAD를 이용하여 4비트 버스제어기 설계1. 제목4-비트 레지스터를 4개 포함하여 4비트 버스제어기를 설계(3-state-Buffer ... / Multiplexer를 선택하여 사용)2. 목적- 3-state-Buffer / Multiplexer의 특징을 이해하고 4비트 버스제어기를 설계한다.- Input값과 Mux의 데이터 선택기에 따른 ... Output값을 추출한다.3. 내용OrCAD를 이용하여 4비트 버스제어기를 설계하는 것인데 74153인 멀티플렉서를 이용하여 4비트 버스제어기를 설계하였다.버스 라인을 이용
    리포트 | 6페이지 | 1,000원 | 등록일 2014.03.22
  • [VerilogHDL] 4bit 2진 덧셈기 설계(vfd 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값 ... 모듈에 표시된다. 한편 dotmatrix블록에서는 "2 Digit Decimal Adder (김정수)“라는 문자열이 우에서 좌로 이동하면서 디스플레이된다.▪ adder4_bcd ... 블록의 논리회로 표현module adder4_bcd(dataa, datab, bcd1a, bcd1b, bcd1s,bcd10a, bcd10b, bcd10s);input [3:0
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • 4비트 동기식 카운터 설계
    1. t_ff 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity tff isport (clk, t : in std_logic; q, nq: buffer std_lo..
    리포트 | 3페이지 | 2,000원 | 등록일 2011.04.03 | 수정일 2016.08.22
  • 4bit Timing Signal Generator
    Digital Logic CircuitReport : 4bit Timing Signal Generator박선우 교수님제출일자 : 2009. 11. 17(水)2005890010 ... 을 볼 수 있다.3. 고찰4-Bit Timing Generator를 설계하여 확인하는 프로젝트이었다. 소프트웨어는 Multisim2000을 사용하였다. 이 실험에서는 D플립플롭 4개 ... 었다. Load와 Counter 를 제어할수 있게 하는 회로를 Logic Converter를 이용하여 설계하였다.4. 참고문헌1. 가장 쉬운 Digital 전자회로 Circuit 실험2
    리포트 | 7페이지 | 1,000원 | 등록일 2009.12.03
  • 4bit alu
    2. arithmetic logic unit vhdl 설계Qustion!!!교과서 page 115의 그림 4.10에 보여진 arithmetic logic unit에 대해서
    리포트 | 11페이지 | 1,000원 | 등록일 2006.10.22
  • 4-bit Adder 회로 설계
    설계실습 10. 4-bit Adder 회로 설계학과전자전기공학부학번조/이름담당교수수업시간실험일1. 목 적조합 논리회로의 설계 방법을 이해하고 조합 논리회로의 한 예로 가산기 회로 ... ) 5개- Quad 2 Input OR Gate(74LS32) 5개- Quad 2 Input XOR Gate(74LS86) 2개- 4-bit binary adder(74LS83 ... (NOR-NOR) 로직 회로를 설계하여라.(4) XOR gate를 이용하여 보다 간소화된 다단계 조합 논리회로를 설계하여라.(5) 4-bit Adder 회로를 위의 전가산기 회로를 이용해 설계하여라.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.10.08
  • vhdl 4bit 병렬가산기
    1. VHDL 설계library ieee;use ieee.std_logic_1164.all;entity b_20072740 isport( A : in bit_vector(3 ... downto 0);B : in bit_vector(3 downto 0);Cin : in bit;Sum : out bit_vector(3 downto 0);Cout : out bit ... );end b_20072740;architecture structure of b_20072740 iscomponent Full_Adderport (X : in bit;Y : in
    리포트 | 3페이지 | 1,000원 | 등록일 2010.12.09
  • 4비트 ALU 설계 및 검증 (8개 인스트럭션)
    리포트 | 3,000원 | 등록일 2014.12.01
  • 4Bit Ripple Carry Adder의 이론과 레이아웃
    4 Bit Full Adder Layout- 목 차 -1. Conception for 1 Bit Adder2. Conception for 4 Bit Adder3. Process ... of 1 Bit Adder Layout4. Process of 4 Bit Adder Layout5. References1. Conception for 1 Bit Adder■ 1 ... tructure)■ Some gates in SUM & CARRY cell■ TRUTH TABLE about SUM & CARRY cell2. Conception for 4 Bit
    리포트 | 13페이지 | 2,000원 | 등록일 2011.07.17
  • 판매자 표지 자료 표지
    디지털 논리회로 VHDL 코딩 과제 4bit full adder 설계
    1. 실험목표이번 실험의 목표는 4bit full adder를 VHDL언어로 코딩하여 ModelSim프로그램으로 시뮬레이션하는 것이었다. (단 XOR를 사용하지 않는다.)2 ... . 실험과정 및 소스코드4bit full adder를 작성하기에 앞서 4bit fulladder의 구성요소로 사용할 1bit fulladder를 코딩하여야 했다.@1. 1bit full ... adder 코딩1bit fulladder는 세가지 입력과 두가지 출력을 가지는데, 세가지 입력은 모두 bit로 선언하였고, 두가지 출력 역시 bit로 선언하였다.세가지 입력 X
    리포트 | 16페이지 | 2,000원 | 등록일 2014.10.13 | 수정일 2015.12.07
  • 4bit DAC CMOS 설계 <VLSI>
    diagramSwitches and 4-bit counter were used.Because Input need to observe each stairs waveform and entire stair ... digital code into an analog signal.*R-2R Ladder TypeFor a digital value VAL, of a R-2R DAC of N bits of V
    리포트 | 22페이지 | 2,000원 | 등록일 2010.07.21
  • [아날로그및디지털회로설계실습A+] 4-bit Adder 회로 설계 결과 레포트 입니다
    아날로그 및 디지털 설계 실습10# 4-bit Adder결과 레포트1. 목적조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.2. 실습준비물 ... OR gate(74LS32) 2개- Qaud 2 input XOR gate(74LS86) 2개- 4-bit binary adder(74LS83) 1개- LED 10개 ... - 직류전원 장치 1대- 멀티미터 또는 오실로스코프 1대- Bread board 1대- 저항(330Ω) 10개- Hex Inverter(74LS04) 4개- Quad 2 input
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.06
  • [VHDL]실험10. 4bit 가산기
    에 발생한다. 즉, 3bits의 이진수인 111(7)과 110(6)을 더하면 그 결과는 1101(13)이 된다. 이를 표현하기 위해서는 4bits가 필요하다. 이때 필요한 1 bit ... 하고 이용하려는 bit의 수를 지정하면 아주 쉽게 bit 확장을 할 수 있다. 다음과 같이 &연산자를 사용하여 bit확장을 통한 올림수를 갖는 4bits 가산기를 표현할 수 있 ... 년도?학기2011년 1학기과목명디지탈논리회로실험LAB번호실험 제목104bit 가산기실험 일자제출자 이름제출자 학번팀원 이름팀원 학번Chapter 1. 관련 이론
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.08
  • 4bit 나눗셈기 설계
    4bit 나눗셈기 설계전자공학과 학번 : 20513619 성명 : 전 현 진◈ 설계 사양▷입력 : 피제수 4bit(,), 제수 4bit(,)▷출력 : 몫 4bit(,), 나머지 ... 4bit(,)▷기능 : 4bit의 제수와 4bit의 피제수가 Input으로 들어가면 연산을 통해 4bit의 몫과 4bit의 나머지가 Output으로 나오게 된다.◈ 이진수 나눗셈 ... 총 횟수의 합이라 했으므로 비교기 Output의 총 합은 곧 몫이 된다. 이때 덧셈연산을 수행하기위해 덧셈기가 필요하고 1비트짜리 비교기 Output을 하나하나 모두 더해 4비트의 몫(
    리포트 | 3페이지 | 1,000원 | 등록일 2010.06.12
  • [아날로그및디지털회로설계실습A+] 4-bit Adder 회로 설계 예비 레포트 입니다
    아날로그 및 디지털 설계 실습10# 4-bit Adder예비 레포트설계실습 10. 4-bit Adder 회로 설계1. 목적 : 조합논리회로의 설계 방법을 이해하고 조합논리회로 ... gate(74LS08) 5개- Quad 2 input OR gate(74LS32) 2개- Qaud 2 input XOR gate(74LS86) 2개- 4-bit binary ... #``````````````=>(A OPLUS B) BULLET C+A BULLET B(5) 4-비트 가산기 회로를 위한 전가산기 회로를 이용해 설계하여라.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.06
  • [VerilogHDL] 4bit 2진 덧셈기 설계(LED 및 dotmatrix 제어)
    디지털설계ⅡLED와 Dotmatrix에 연산 결과 값과 사용자지정 값이 출력되는 4비트 2진 덧셈기 설계목 차설계개요개념설계회로구현시뮬레이션결과검토■ 설계개요HBE-COMBOⅡ ... 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.두 번째 키를 떼기 전까지는 2개의 4비트 데이터가 출력되고 두 번째 키가 떼어지 ... 에서의 동작 개요ABCDEF123456789*0#비트1비트2비트3비트4D플립플롭1D플립플롭3D0 A0D1 A1D2 A2D3 A3D0 C0D1 C1D2 C2D3 C3D플립플롭2D0 B
    리포트 | 8페이지 | 1,000원 | 등록일 2015.08.02
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 06일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:59 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감