• 통합검색(687)
  • 리포트(542)
  • 논문(102)
  • 시험자료(21)
  • 자기소개서(11)
  • 방송통신대(11)
판매자 표지는 다운로드시 포함되지 않습니다.

"logic circuit" 검색결과 501-520 / 687건

  • 반도체 산업의 특징
    에 지나치게 편중된 반도체 산업 혁신체제의 특성으로 인해 시스템 IC분야나 ASIC (Application Specific Integrated Circuit) 등과 같이 향후 세계 ... 하고 있고 뒤를 이어 MOS Memory 21%, MOS Logic 15%, Analog 14%, Discrete 12%의 순으로 제품별 점유율을 나타내고 있다. 1997년 ... (Programmable Logic Device), FPGA(Field Programmable Gate Array) 등 사용자가 직접 회로 설계를할 수 있는 Semi-custom IC
    리포트 | 5페이지 | 1,000원 | 등록일 2011.04.16
  • 광량에 따른 자동 블라인드 설계
    DiagramPhotocurrent Measurement Circuit1. SENSOR2. MOTORMODEL : DC Geared Motor GM25-100Features감속기어비1 ... - Compatible with all forms of logic Features - Internally frequency compensated for unity gain - Low ... . 특성 : 정류, 스위치, 증폭PNPNPNMaximum RatingsTotal Circuit DiagramC Source#include avr/io.h int main(void
    리포트 | 21페이지 | 1,500원 | 등록일 2008.12.26 | 수정일 2016.03.10
  • MOSFET SPICE Parameter추출과 증폭기 스위치회로
    를 통해 logic threshold voltage를 측정한다.- MOS switch의 voltage transfer curve를 통해 특성을 확인한다.2. 실험과정 및 결과4.1 ... . 그리고 전압이득도 관찰한다. 이렇게 관측한 값은 아래와 같았다.Circuit 4.1Circuit 4.2Circuit 4.31.54.98104.98101.94101.74.976 ... 과 같은 상황이 일어날 수 있다. 하지만 확실하지 않으므로 추측할 수밖에는 없다.4.6 CMOS inverter에서 logic threshold와 구하기4.44.54.61.5-0
    리포트 | 9페이지 | 1,000원 | 등록일 2009.08.01
  • Quartus 툴을 이용하여 verilog로 가감산기.간단한 ALU 구현하기
    circuit function is specified typically by text or a hardware description language(HDL), plus constraints ... on cost, performance, and reliability. At high levels of the design, the circuit is then repeatedly ... divided into blocks as necessary until the blocks are small enough to perform logic design. For
    리포트 | 18페이지 | 9,000원 | 등록일 2007.12.09
  • Design of Basic Computer
    +DR 레지스터BUSAdder and Logic Circuit, AC 레지스터* LOAD : D0T5+D1T5+D2T5+rB9+rB7+rB6+pB11* INR : rB5* CLR
    리포트 | 16페이지 | 2,000원 | 등록일 2008.06.09
  • 디지털논리회로실험 - 제 10장 플립플롭
    회로(Sequential Logic Circuit)는 입력에 의해서만 출력이 결정되는 조합논리회로(Combinational logic Circuit)와는 달리 입력신호 이외에 현재 ... FlopInputClockOutputJKClockQW01101010011011010010111101101010110010014. 사용 기자재 및 부품? 논리실험기 (Digital Logic Lab
    리포트 | 14페이지 | 1,500원 | 등록일 2008.12.08
  • Cypress Case Analysis (영문)
    niche markets for sophisticated integrated circuits (e.g. microcontrollers, programmable logic devices ... employees does not match with their new economic logics that focus on outside competition. They should
    리포트 | 4페이지 | 2,000원 | 등록일 2009.12.15
  • [예비레포트] 10진 카운터 제작
    1-4] NOR GATE2. 디지털 IC의 종류와 특징논리 게이트들은 IC(Integrated Circuit)로 만들어 사용하고 있는데 하나의 IC package속에는 여러개 ... (Diode and Transistor Logic)형은 초기에 개발된 IC로서 회로가 주로 다이오드나 트랜지스터로 구성되어 있으며 현재는 구형에 속하여 별로 사용하지 않 ... 는다.② TTL(Transistor and Transistor Logic)은 회로의 주요 부분이 트랜지스터로만 구성되어 동작속도도 빠르고 가장 많이 사용되고 있다. (SN54, SN74 시리즈
    리포트 | 4페이지 | 1,000원 | 등록일 2009.03.08
  • car security system vhdl
    security system circuit with memory에 대해서 VHDL로 기술하고, 성능이 정상적으로 동작되는지를 확인하시오. 성능 검증은 Timing s ... 의 회로 중에서 다음에 해당하는 회로의 coding을 해 보겠다.library ieee ;use ieee.std_logic_1164.all;entity movement isport ... (m, v, d : in std_logic;s : out std_logic);end movement;architecture data_flow of movement isbegins
    리포트 | 5페이지 | 1,000원 | 등록일 2006.10.28
  • [공학]LogicWork 4.0 - 사용한 논리회로 텀 프로젝트#1
    ※ Design circuits for the following problems and depict the resulting circuits using an arbitrary CAD ... rules are required to be followed and they are listed follow:Use logic gates to design a combinational ... circuit for checking the suitability of blood donors and acceptors. The circuit will have four
    리포트 | 5페이지 | 1,000원 | 등록일 2007.01.21
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    Circuits) Hardware Description Language의 약어이며 VHDL은 알고리즘 레벨(algorithm level)에서 게이트 레벨(gate level ... Spartan B/D*The code converter belongs to combinational logic systems.*Design it with the same behavioral ... 으로 표현이 가능하다.Code에 주석을 달아 보면서 코드를 이해해 보도록 한다.library IEEE; --ieee 라이브러리use IEEE.STD_LOGIC_1164.ALL;use
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • 자동화실험(기계공학실험)
    되었는데, 그 대표적인 예가 PLC (Programmable Logic Controller)입니다.간단한 논리회로(AND, OR, NOT, NOR, NAND등)로부터, 거의 모든 FA공정 ... 자화되어 있을 때만 그 접점을 통하여 전류가 흐르도록 회로를 만든다. 메이크 회로(make circuit)라고도 부른다.b. 자기유지회로(self holding circuit ... circuit) : 두 개 이상의 전자계전기, 전자접촉기가 동시에 동작하지 않도록 하는 회로로써 전기 기기의 장치보호, 운전자의 안전을 위하여 사용되는 회로이며, 두 입력 중 먼저 동작
    리포트 | 10페이지 | 2,000원 | 등록일 2010.04.18
  • [공학]논리게이트에 대한 자료
    논리 게이트 (Logic Gate)논리 게이트(logic gate)란, 0또는 1로 구성된 2진 정보를 취급하는 논리 회로(logic circuit)로서, 일반적으로 2개 이상
    리포트 | 3페이지 | 1,000원 | 등록일 2007.04.03
  • Shift Register & Division Circuit
    6주차 기초전자공학실험2기초전자공학실험2실험날짜: 2008, 10, 31조 : 금요일 오전 10 조1.TitleShift Register & Division Circuit2 ... .Name금요일 오전 10조3.Abstract플립플롭을 이용하여 shift register와 division circuit을 제작하여 비트의 이동을 확인하고, 이해한다.4 ... 회로를 제작하여 확인하는 실험이다.위의 Logic Diagram에서 볼 수 있듯이 칩 자체가 8bit shitf register의 특징을 가지고 있어 별다른 소자를 추가할 필요 없
    리포트 | 29페이지 | 3,000원 | 등록일 2010.10.16
  • 일렉콤프사의 네트워크 계획
    Designing and Managing The Supply Chain Chapter 03 Network Planning Case – Elecomp서킷 보드와 다양한 하이테크 ... 에서 적정한 안전재고의 양 계산 문제점 인식 3Logic Tools 사의 Inventory Analyst TM 사용 밀기 , 끌기 혼합전략에 근거 재고생산에 해당하는 밀기 전략
    리포트 | 14페이지 | 2,000원 | 등록일 2009.06.02 | 수정일 2016.02.17
  • VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    년대 초부터 미국방성에 사용하기 시작한 새로운 HDL이다. VHDL의 첫 약자 “V”는 Very High Speed Specific Integrated Circuit를 나타내는 말 ... 화하려 했으나 대부분의 EDA회사들이 VHDL을 회로합성이라는 Logic Synthesis로 까지 응용하면서 이 H/W기술언어는 전자업계 기술자들에게 많은 편리함을 가져다 주게 된다.(2 ... 다.▷ Logic Value System에 문제가 있다. IEEE에서 표준이 되는 Logic Value System을 제안했음에도 많은 S/W판매사들은 각각의 Logic Value
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • [Verilog 구현]Finite Sate Machine 구현
    \h 4 HYPERLINK \l "_Toc134934346" 3.1.Control Logic이 사용되는 방법 PAGEREF _Toc134934346 \h 4 HYPERLINK ... .Modulo 10 Counter like Circuit PAGEREF _Toc134934356 \h 11 Finite State Machine 정의다음 State가 State ... Logic : Next State Logic을 구성State Register : 현재 상태의 값을 유지Finite State Machine의 종류Moor Machine :Output
    리포트 | 15페이지 | 2,000원 | 등록일 2006.08.22
  • BJT 기본 특성 실험
    영역은 증폭기로 사용되고, Saturation 영역은 Switching 하므로 Logic Gate 등에 많이 이용한다.BJT 의 기본 동작 및 전류 특성에 대해 자세히 알아보 ... 므로 Logic Gate등에 많이 이용된다.●결과 분석 및 토의사항1. 측정한 값을 바탕으로 Ic-VCE 특성곡선을 그래프로 그리시오.2. VBE=3V 일 때, 활성 영역에서의 Ic 곡선 ... 은 Microelectronic CIRCUIT 책의 p.400 의 그림이다.실제의 Ic-Ice 특성곡선을 그려보면, saturation region 일 때, 일정한 값으로 유지
    리포트 | 7페이지 | 1,000원 | 등록일 2009.12.15 | 수정일 2015.09.08
  • [논리회로실험] CMOS회로의 전기적 특성(예비)
    output of a logic circuit takes to change from one state to another(논리회로에서 하나의 상태에서 다른 상태로 천이할 때 걸리 ... 1. 실험목표1) High-speed CMOS logic family인 74HC시리즈의 전기적 특성을 이해하고 실험을 통해 동작을 확인한다.2) CMOS IC의 데이터시트 ... 상승엣지이므로 해당 핀이 L에서 H로 변하는 순간 작동하게 됩니다.4. 실험방법1) Logic Levels & DC Noise Margins(1) DC 전원공급기는 VIN
    리포트 | 12페이지 | 1,500원 | 등록일 2009.03.20
  • Speaking Lesson Plan
    computer, but how to design computer architecture or digital logic circuit. But many people would
    리포트 | 6페이지 | 2,000원 | 등록일 2010.02.06
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 09일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감