• 통합검색(690)
  • 리포트(542)
  • 논문(105)
  • 시험자료(21)
  • 자기소개서(11)
  • 방송통신대(11)
판매자 표지는 다운로드시 포함되지 않습니다.

"logic circuit" 검색결과 581-600 / 690건

  • 자동차의 전기전자 기초 구조 및 정비
    흐름압전소자Piezo Electric Element힘을 받으면 전기 발생하며 응력게이지,전자 라이터등에 주로 사용논리 합Logic OR논리회로로서 입력 A,B 어느 하나라도 1이 ... 면출력 C도 1논리 적Logic AND입력 A,B 동시에 1이 되어야 출력 C도 1이되며 하나라도 0이면 출력 C도 0이 되는 회로논리 부정Logic NOTA가 1 이면 출력 C ... 는 0 이고A가 0 이면 출력 C 는 1 이 된다논리 비교기Logic CompparaB에 기준 전압 1을 가해주고 입력단자A로부터 B보다 큰 1을 주면 동력입력 D에서 C로 1 신호
    리포트 | 24페이지 | 1,500원 | 등록일 2006.12.05
  • [논리회로]자판기 구현
    DECORDER VHDL(1)library IEEE use IEEE.std_logic_1164.all; entity is DECODER_4*8 is port (COIN : in s ... td_logic_vector (3 downto 0); D : out std_logic_vector (7 downto 0)); end DECODER_4*8; architecture ... _logic_vector(2 downto 0); D:out std_logic_vector(5 downto 0)); end DECODER_3x6; architecture
    리포트 | 19페이지 | 3,500원 | 등록일 2005.04.18 | 수정일 2017.10.24
  • [전자] 임베디드 시스템
    요구사항3.1 침입탐지 요구 사항3.2 파이어월 기술 요구 사항■ FPGA / PLD1. FPGA : Field-programmable logic array1.1 FPGA ... (Field-programmable logic array)는?1.2 FPGA의 단점의 극복2. PLD : Programable Logic Device2.1 PLD(Programmable ... Logic Design) 란?2.2 PLD의 종류1) PROM2) PLA(Programmable Logic Array)3) PAL(Programmable Array Logic)4
    리포트 | 9페이지 | 3,000원 | 등록일 2005.09.08
  • 트랜지스터 응용 회로 실험(2)(예비)
    의 Switch로서의 동작을 이해하고, 이를 이용하여 간단한 Digital Logic을 구현해 본다.Name금(오전) 4조 김성준 200411253전재하 200411310문은혁 ... ModelHigh Frequency Equivalent CircuitEquivalent Circuit For Simple Analysiso 증폭기로서 MOSFET의 고주파 동작에 대한 성능 지수
    리포트 | 13페이지 | 2,000원 | 등록일 2009.07.08
  • [컴퓨터공학]블루투스& WPAN
    의 기술3) 데이터전송 • 음성 : circuit switching 방식 : SCO • 데이터 : packet switching 방식 : ACL • 속도: 1Mbps ( Ethernet ... Protocol • L2CAP : Logical Link Control and Adaptation Protocol • Service Discovery Protocol2
    리포트 | 70페이지 | 3,500원 | 등록일 2008.06.09
  • 졸업논문_VHDL을 이용한 디지털 시계구현
    및 실제 구현한 내용을 위주로 살펴보도록 하겠다.III-2-1. ALTERA의 PLD개요일반적으로 ALTERA사에서 제공되는 Programmable Logic Device ... (Flexible Logic Element Matrix) Series를 응용한 많은 사용예가 있다. 이것은 Logic Cell이 Look-up table을 이용하고 있 ... 코드]Ⅴ. 結 論본 논문에서는, 하드웨어 설계언어인 VHDL (Very high speed integrated circuit Hardware Description Language
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • C언어- 연산자
    &, ||논리 연산자로는 연산자 &&와 ||의 사용을 권장하며, 이러한 논리 연산자는 단축 연산(short circuit)을 수행Short circuit logic논리 연산자 & ... +연산자‘논리 연산자’ (Logical operator) 란논리 연산자는 관계 연산자와 같이 식의 성립 조건에 따라 참인지 거짓인지를 결과로 나타내는 연산자논리 연산자에는 ‘논리곱
    리포트 | 45페이지 | 1,500원 | 등록일 2006.09.20
  • 카르노도
    00000011010101101001101111001111Logic Circuitproduct-of-sums 방정식을 구한 후, OR-AND 회로, 또는 등가의 NOR-NOR 회로를 그림으로써 논리회로(logic circuit ... 하였다.※ Product-of Sums 방법도 비슷하다.먼저 진리표가 작성되면 -> logic 설계에 필요한 fundamental sum들을 조사한 후 -> 이 sum들을 AND 연산
    리포트 | 28페이지 | 1,000원 | 등록일 2006.12.31
  • 디지털 IC란?
    IC (Integrated Circuit : 집적 회로) 란?IC란 2 개 또는 그것 이상의 회로 소자(다이오드, 트랜지스터, 저항, 콘덴서 등)를 하나의 기판(보통 실리콘의 단 ... Transistor Logic), ECL(Emitter Coupled Logic) 등이 있다.또, TTL에서도 저소비 전력이고 고속인 LS.TTL(Low-Power Schottky ... TTL)이나 I2L (Integrated Injection Logic)이라는 고속이며, 더구나 소비 전력이 MOS 같은 IC도 개발되고 있다.MOS-IC는 바이폴러 IC보다도 소비
    리포트 | 3페이지 | 1,000원 | 등록일 2004.11.18 | 수정일 2014.07.27
  • 연산 회로 설계 실험-예비보고서
    Logic unit)를 verilog 코드로 작성하여 시뮬레이션 검증 후에 FPGA Kit에서 실제 동작을 확인한다.2. 개요① 2진수의 음수 표현의 이해② 4-bit 덧셈기/뺄셈기 ... = data_a & ~(data_a);//0011일 때 alu_reg(en==1일 때 alu_out)가 Logical 04'b0100 : alu_reg = ~(data_a ... )가 A and B4'b1100 : alu_reg = data_a + ~(data_a);//1100일 때 alu_reg(en==1일 때 alu_out)가 Logical 14'b1101
    리포트 | 7페이지 | 1,000원 | 등록일 2006.11.23
  • MOS transistor
    )2에 비례하고 device 1개당 power는 1/S2에 비례하게 된다. 이러한 축소의 결과 memory density가 매번 4배씩 증가하는데 반해 logic circuit
    리포트 | 17페이지 | 1,500원 | 등록일 2008.12.09
  • TTL 특성 및 응용실험
    TTL 특성 및 응용실험■ 목표TTL(Transistor-Transistor Logic)로 구성된 디지털 IC(Digital Integrated Circuit)의 특성 및 동작 ... 을 이해하고, 소자의 사용법을 익힌다.■ 배경이론○ TTL(Transistor-Transistor Logic)▶ TTL(Transistor-Transistor Logic)소자
    리포트 | 8페이지 | 1,000원 | 등록일 2006.12.15
  • [VHDL] memory설계 using VHDL
    university-- This source is free.-- Reference: Digital circuit design using VHDL (한올 출판사)-- library ... appendinglibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity memory is -
    리포트 | 4페이지 | 1,000원 | 등록일 2003.05.29
  • 음악에서 컴퓨터의 활용
    가발생하는 현상이다. 참고로 가청 주파수의 범위는 20Hz~20000 Hz이다. 진공관을 이용한 전자 악기들은 1960년대에 집적회로(I.C.: Integrated Circuit ... 도록 제공하므로 범용성이 없다.. 그러면 범용성이 있는 것은 무엇인가?시퀀서와 노테이션이다. 이 중에서 컴퓨터 음악하면 주로 알려진 것은 시퀀서(CakeWalk, LOGIC
    리포트 | 12페이지 | 2,500원 | 등록일 2008.11.12
  • 실리콘 반도체의 한계와 대안
    당 power는 1/S에 비례하게 된다. 이러한 축소의 결과 memory density가 매번 4배씩 증가하는데 반해 logic circuit density는 금속배선
    리포트 | 6페이지 | 2,000원 | 등록일 2008.06.17
  • [디지털시스템설계] 디지털시스템설계
    ..PAGE:1Control circuitDoneFunctionwbusclockR0R3AGAddSubExternR0inR0outR3inR3outAinGinGoutB1.Simple ... 된다...PAGE:4VHDL CODELIBRARY ieee ;USE ieee.std_logic_1164.all ;USE ieee.std_logic_signed.all ;USE work.s ... ubccts.all ;ENTITY proc ISPORT ( Data : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ;Reset, w : IN STD_LOGIC ;Clock
    리포트 | 15페이지 | 1,000원 | 등록일 2003.10.10
  • Poly SI 기술 Report
    : TFT 특성 uniformity 확보구동 전압 증가로 인한 소비 전력 증가 억제simple logic circuit integrationdata driver channel의 감소n 개발
    리포트 | 7페이지 | 2,000원 | 등록일 2007.10.06
  • 하이닉스반도체 기업분석
    (Integrated Circuit, 집적회로) 제품들이 차지한 것으로 나타났습니다.IC 제품은 마이크로컴포넌트, 로직(Logic) IC, 메모리(Memory) IC 그리고 아날로그
    리포트 | 12페이지 | 2,000원 | 등록일 2008.07.13
  • 디지털시계 만들기
    과 다이오드ⅱ.와 GNDⅲ. IC (Integrated Circuit, 직접회로)A. TTL vs CMOSB. Data sheetsⅳ. 기타 공구 및 사용a. 납땜b. 기타 갖추면 좋 ... (Active HIGH) Input- Logic diagram< 74LS390 LOGIC DIAGRAM (one half shown) >: 74LS390의 한 쪽에는 4개의 JK 플진다.
    리포트 | 50페이지 | 4,000원 | 등록일 2006.12.18
  • Introduction to the Circuit Board
    UNIT 1 Introduction to the Circuit BoardUnit Objective디지털 회로를 위치, 확인, 연결하고 디지털 논리를 증명한다.Unit ... 하면 TTL/CMOS COMPARISON 회로구간에 만들어져 있다.Logic State논리 gate의 출력 상태는 입력의 논리 상태에 좌우된다. 논리상태에는 logic 1 또는 high ... , 그리고 logic 0 또는 low의 2가지가 있다.어떤 gate의 출력은 high나 low logic state가 아닌 high-Z state로 할 수 있다. gate는 high
    리포트 | 3페이지 | 1,000원 | 등록일 2001.10.20
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 10일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:54 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감