• AI글쓰기 2.1 업데이트
  • 통합검색(125)
  • 리포트(119)
  • 자기소개서(5)
  • 논문(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"vhdl segment" 검색결과 1-20 / 125건

  • 판매자 표지 자료 표지
    VHDL, ABEL - 7 segment
    ,1,0]->[0,0,0,0,0,0,0];"E[1,1,1,1,1]->[0,0,0,0,0,0,0];"FEND seven_segment☞ 시뮬레이션 파형을 보면, 설계한 진리표와 결과 ... 가 일치하는 것으로 보아, 16진수를 표시하는 7segment decoder로써 동작함을 알 수 있다. 인에이블 값이 0일 때는 동작하지 않는다.(2) VHDLlibrary ... [Report 6-1] : ABEL, VHDL PGM for 74491. 조건- Display : 0~9, A, B, C, D, E, F- 4 input bits
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 판매자 표지 자료 표지
    VHDL을 이용한 설계-7 segment
    에 따라서 segment의 출력이 결정되기 때문에 클럭이 입력, segment가 출력이 된다. 클럭입력은 ‘0’과 ‘1’을 가지는 std_logic으로 정의되고, segment는 7개 ... 의 신호로 결정되기 때문에 6bit를 가지는 std_logic_vector로 정의된다. segment vector의 MSB부터 차례로 a, b, c, d, e, f, g성분이 된다 ... 및 변수들을 처리한다. Process문이 begin되기 전에 사용할 변수를 정의할 수 있는데, segment의 출력을 제어하기 위해 integer형 변수 k를 정의
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • VHDL을 이용한 7segment 구구단 프로그램(BOOTH 알고리즘 구현, 시연동영상 포함)
    Non-Ai HUMAN
    | 리포트 | 3,000원 | 등록일 2015.04.12 | 수정일 2015.06.17
  • [vhdl] 7segment, testbench파일 포함
    .ALL;entity seg77 is port (CLK_4M,RSTB : in STD_LOGIC;SEG : out STD_LOGIC_VECTOR (6 downto 0);DIGIT ... : buffer STD_LOGIC_VECTOR (5 downto 0));end seg77;architecture behavioral of seg77 issignal clk_500: s ... td_logic;signal cnt: std_logic_vector(20 downto 0);begin------------------자리선택---------------process
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2008.06.14
  • [VHDL 설계] 2-TO-1 MUX 와 7-segment 설계
    Problem) 2-TO-1 MUX와 7-segment를 각각 text Design(VHDL)으로 설계하여 검증(simulate)을 하고 각 symbol을 이용하여 아래 그림 ... 과 같이 설계하고 다시 검증(simulate)하시오.▶ 2-TO-1 MUX① text Designentity mux1 is -- 입 ... 출력 포트의 정의port(s : in bit; -- select 포트, 비트로 표시d0: in bit_vector(3 downto 0
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2009.02.08
  • [asic] vhdl을 이용한 seven segment 설계
    기 때문이다. 위는 7-segment의 블록도와 IC의 핀 번호 그리고 7-segment의 각 숫자의 출력 형태를 보여주는 것이다.2. VHDL code와 파형1. 입력과 출력을 그룹 ... 과 같이 6ns의 delay가 있었다.- 코드분석 : 우선 if문을 사용하여 VHDL로 모델링 한 경우를 보자.port (BCD : in std_logic_vector (3 ... 로 7-segment의 7개의 LED를 나타낸다.process(BCD) 그리고 왼쪽과 같이 process문을 써서 BCD가 변할 때마다 process 아래의 begin과 end process사이의 명령들이 실행된다.if BCD = "0000" thenY
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2004.05.03
  • 판매자 표지 자료 표지
    xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름 ... 이 붙여졌다.그림 2 / ※ SYS-Lap 5000의 7-segment 소자2) 구현하는 SYS-Lap 5000에서는 6개의 7-segment 모듈을 하나로 구성한 소자를 사용 ... 한다. 기본적인 것은 그림 1과 같으나 7-segment의 개별 led를 구동하는 핀과 각각의 숫자를 구동하는 핀으로 구성되어있다. SYS-Lap 5000에서는 출력하려는 자리에 해당
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
  • [전자공학 ] VHDL으로 7 segment 디코더 설계
    rystal display)와 같은 디스플레이 장치를 사용하는 디지털 시계나 전자계산기에서 발견할 수 있다. BCD-7세그먼트 디코더(BCD to 7segment decoder)는 BCD ... 는 "0", c, f, d, p는 "1"을 출력하고, common 단자에는 "1"을 출력하여야 한다.▲ CODE--adder2bit.vhdlibrary ieee;use ieee.std ... _logic_1164.all;entity bitadderentity isport(ain,bin: in std_logic_vector(1 downto 0);bitout : out s
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,500원 | 등록일 2004.03.11 | 수정일 2021.12.21
  • 논리회로실험) 7 segment 프로젝트 1 예비
    은 컴퓨터 모니터에서 사용되는 RGB 정보를 TV에서 수신할 수 있는 아날로그 형태의 NTSC 방식의 신호로 변환하여 주는 장치로 사용된다.(3) 7 segment- 7세그먼트 표시 ... 에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 LED가 7개 사용되어 7 segment로 불린다.- 애노드 공통형(common-anode type)과 캐소드 ... 논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 엔코더1. 실험 목표디코더와 엔코더에 대해 알아보고 진리표를 설계해본 후, 3 X 8 디코더의 동작적 표현을 if문을 사용
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • [논리회로설계실험]VHDL을 활용한 CLOCK설계
    의 process를 이용하여, 7segment의 값들을 각각 지정하고, 클럭의 시간을 연산하여, 현실의 1초가 지날 때 마다 segment의 값이 바뀌도록 구현한다. 각각 ... egment를 이용하여 숫자를 나타내게 된다. VHDL에서 코딩과정은 시간의 각 자리숫자를 하나씩 연산하여 나타내게 되는데, 각 자리 숫자가 나타나는 시간 간격이 매우 짧아(50 ... us) 우리 눈에는 동시에 모든 자리 숫자가 연산 되어 나타나는 것으로 보인다. 매우 짧은 시간동안 계속해서 값을 연산하여 7segment에 나타나지만, 우리 눈은 LED가 항상 켜
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    , 7447, 7483, 7485, 7486, 74139, 741517-segment실험 방법비교기비교기의 기본적인 기능은 두 2진수의 크고 작음을 결정하기 위해 두 수의 크기를 비교 ... 번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다. 그림 5와 같은 회로를 구성하고 입력에 따른 7-segment의 출력 ... Exp#6. 디지털 논리회로의 응용 – 가산기/비교기/멀티플렉서/디멀티플렉서실험 목표비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 있다.디코더와 인코더의 원리를 이해
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 판매자 표지 자료 표지
    서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)STEP 19:그림 34 – 7-segment display ... 디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다. ... 일 때 code 변환을 실행하게 된다. 보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment
    Non-Ai HUMAN
    | 리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    하였습니다.--7 segment display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호와 BCD와 값 ... 1k Hz를 정의하여서 사용하였습니다.)--3번 분주하여서 사용하였습니다. (3번 분주 시 1Hz, 주기가 1초인 클럭 사용 가능.)-- 7-segment 와 BCD code를 서로 맞게 입력 하였습니다 ... 목표-조건에 맞는 Traffic lights controller를 설계하고 VHDL을 이용하여 구현할 수 있다.1) Code analysis■ 10Hz가 너무 느려서 교수님
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    수님Name0INDEX1. 개미의 하루 의 정의 및 설계 ····················· 32. 개미의 하루 의 동작원리 및 기능 ············· 53. VHDL ... 에서 바로 가장 낮은 배열로 초기화하여 사용할 수 있도록 전역 변수 역할을 하도록 설계하였습니다.3. VHDL 코드 및 핀 할당library IEEE;use IEEE.STD ... lk : in STD_LOGIC;sw_a, sw_b, sw_c, sw_d, sw_e, sw_f, sw_g, sw_h, sw_i, movekey, reset: in std_logic
    Non-Ai HUMAN
    | 리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 판매자 표지 자료 표지
    [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 3 실험결과보고서
    Chapter 1. 실험 목적Verilog HDL을 통해 FPGA의 7 segment를 이용하여 Timer를 설계해본다.Chapter 2. 관련 이론ü Verilog HDL ... 과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, 구현 등의 용도로 사용가능하다.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    논리회로설계 실험 설계과제 보고서주제 : #2 STOPWATCH 설계1. 설계 배경 및 목표1) 설계 배경지난 설계과제를 통해 BCD가산기를 만들었고, 7segment를 통해 ... 이 분주되도록 설계하고, 7segment를 이용하여 시간을 표기한다. 또한 디바운싱 코드를 이용하여 채터링 현상을 방지해 정지, 리셋 스위치가 안정적으로 동작하도록 설계한다.2 ... 를 하나의 상태로 일정하게 유지시킬 수 있다.4) 7segment 표시방법7 segment 장치는 7개의 조명조각으로 숫자나 문자를 나타낼 수 있다. 아래의 7 segment 그림
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 판매자 표지 자료 표지
    LS일렉트릭 HWP(PLC,HMI)직무 합격자소서
    만 목표했던 바를 포기하지 않으리라 결심하며 전공서적 및 Github을 참고하며 대안을 탐색했습니다.결과적으로 반복되는 segment 출력연산을 모두 함수로 치환하고 불필요한 if문 ... 율을 높여나가는 LS ELECTRIC의 도전정신을 느낄 수 있었습니다. 저 또한 이에 부합하는 도전정신을 통해 임베디드S/W 설계역량을 쌓아왔기에 LS ELECTRIC의 글로벌 경쟁력 ... 인 자동화 기술력을 높이고자 지원했습니다.주행로봇 설계프로젝트에서 직면한 문제에 도전하며 HW 직무의 핵심인 임베디드S/W 설계에 관심을 갖게 되었습니다. 초기에는 미숙한 커브
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.09.23
  • [논리회로설계실험]VHDL을 활용한 LCD설계
    1.목적(Purpose)이번실습은 FPGA의 LCD를 조작하는 실습이다. 이전 실습이었던, 7segment를 조작하는 방법과 같이 Process 여러개를 이용하여, 클럭값 ... -display-interfacing-with-altera-fpga-vhdl/ -VHDL로 LCD를 구동하기 Hyperlink "http://linkjapan.co.kr/shop/item ... 과, LCD의 각 값을 조절한다. 최종 목표는 LCD상에, 학번과, 이름의 이니셜을 나타내는 것이고, 4개의 process 이용하여, 100khz, 50hz 클럭을 설정하고, lcd를 s
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 논리회로실험 프로젝트 2, stop watch 설계
    논리회로설계실험 프로젝트 #2Stop watch 설계1. 설계 목표BCD, 7 segment, 카운터, Debouncing 등에 대해 조사해보고, 지금까지 학습해왔던 논리회로 ... *************0120000001030000001140000010050000010160000011070000011180000100090000100110000100002) 7 segment- 7 segment 표시 장치 ... (Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있어 7 segment 라는 이름이 붙여졌다. 육안으로 확인 할 수 있
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. 실험 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료 ... 흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다. 또한 7segment와 bcd코드의 원리에 대해서 알아본다.2. 예비 이론(1) 디코더디코더는 또 다른 ... 데이터를 통신라인의 특성에 맞추는 데에도 엔코더가 사용된다.(3) 7 segment7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 전문가 요청 쿠폰 이벤트
  • 전문가요청 배너
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 04일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감