• 통합검색(528)
  • 리포트(488)
  • 자기소개서(31)
  • 논문(7)
  • 시험자료(2)
EasyAI “VHDL code” 관련 자료
외 254건 중 선별하여 새로운 문서 초안을 작성해 드립니다
생성하기
판매자 표지는 다운로드시 포함되지 않습니다.

"VHDL code" 검색결과 1-20 / 528건

  • 판매자 표지 자료 표지
    General CPU design 2 - VHDL code & RTL viewer
    Lab 10-1주어진 VHDL code(Page 4)를 사용해서 EC-2 microprocessor를 구현하고 “RTL view” 기능을 이용해서 구현된 결과를 분석하라. 해당 ... the VHDL code is also modified.“program_EC2.mif” 에 명시된 프로그램 두 개를 simulation으로 검증하라. (The last content ... code를 분석해서 Control unit의 state diagram을 도출하고 손으로 그려라.Note: Asynch RAM used in EC-2 is not supported
    리포트 | 35페이지 | 4,500원 | 등록일 2025.02.03
  • 판매자 표지 자료 표지
    General CPU design 1 - VHDL code & RTL viewer
    Lab 09.주어진 VHDL code들을 사용해서 EC-1 microprocessor를 구현하고 “RTL viewer”와 “RTL simulation” 기능을 이용해서 구현
    리포트 | 9페이지 | 4,000원 | 등록일 2025.02.03
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    이다. 여러 가지 방식이 가능하지만 여기서는 2진 Hamming Code로 오류 비트 1개를 교정하는 코드를 만들었다.기본적으로 Hamming code는 기존 메시지에서 패리티 ... 는 다음과 같이 작성할 수 있다.입력선택출력I7I6I5I4I3I2I1I0S2S1S0YX000I0001I1010I2011I3100I4101I5110I6111I72.소스코드 설명 ... + B01A or B10A and B11A xor B2.소스코드 설명컴퓨터는 최상위 비트를 부호비트로 인식한다. 우리는 그런 것을 고려하지 않고 덧셈을 하기를 원하기 때문
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    에서 바로 가장 낮은 배열로 초기화하여 사용할 수 있도록 전역 변수 역할을 하도록 설계하였습니다.3. VHDL 코드 및 핀 할당library IEEE;use IEEE.STD ... 수님Name0INDEX1. 개미의 하루 의 정의 및 설계 ····················· 32. 개미의 하루 의 동작원리 및 기능 ············· 53. VHDL ... 을 누름으로써 앞서 지정한 변수 bu에 카운트 된 입력값이 들어오고, 클록변수에 따라 구분한 특정 음계 주파수가 입력에 따라 출력되게 됩니다.코드에서의 문자출력과 사운드 출력간
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 판매자 표지 자료 표지
    Elementary dedicated microprocessor - CU, DP Design 및 VHDL 코드 설계 레포트
    Lab 08-1-다음 페이지에 주어진 code를 이용해서 알고리즘 실행에 필요한 Datapath를 구현하고 간단한 simulation을 통해 검증하라..Variable: i
    리포트 | 11페이지 | 3,000원 | 등록일 2025.02.03
  • 전자공학과 논리회로 A 설계 프로젝트 보고서 (VHDL코드포함)
    각 step에 대한 알고리즘 및 논리 설명 -곱하는 수(multiplier)의 자릿수에서 0이면 과정을 넘어가고 1이면 계산을 진행한다. 이때의 계산은 곱해지는 수(multiplicand)를 그대로 이용하였다.(2step, 3step에서는 비트 자리를 이동하여 계산과정..
    리포트 | 6페이지 | 3,000원 | 등록일 2020.12.10
  • 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함)
    1. 설계 목표 및 요구사항1) 설계 요구사항. -FPGA Kit에서 7 segment 모듈과 Keypad 모듈을 이용하여 Digital Lock을 설계한다. -Digital Lock은 6자리 비밀번호를 설정하는 기능, 설정된 비밀 번호가 입력되면 잠금 해제되는 기능,..
    리포트 | 17페이지 | 3,000원 | 등록일 2020.12.17
  • 키보드로 움직이는 VHDL 로봇팔 제안서,최종보고서,설계포트폴리오,소스코드[DE0보드 사용]
    3. 연구개발 내용 및 범위 먼저, 로봇의 기구부는 알류미늄, 아크릴, 포맥스 등의 작품을 완성하였을 시 내구성이 보장될 수 있도록 강도가 보장되지만 동시에 제작에 용이한 재질을 선택하여 로봇팔의 기구부를 설계한다. 몇가지 재질을 접해본 결과 ‘포맥스’라고 불리는 재..
    리포트 | 25페이지 | 10,000원 | 등록일 2021.02.19 | 수정일 2021.03.04
  • [VHDL] Combinational logic design with 8to1 MUX & 4to16 Decoder, 코드 및 시뮬레이션 분석
    들과 연결 짓는 것이 중요한 실습 포인트이다. 또한 logic vector 활용법을 익힐 수 있는 실습이다. 4 to 16 VHDL Decoder의 코드는 다음과 같 ... 세 번째 실습은 함수 F(A,B,C,D) = ∑m(0,2,3,8,10,11,12,14,15)를 이해하고 이를 VHDL로 알고리즘을 짜 8 to 1 MUX를 이용한 회로와 4 to
    리포트 | 28페이지 | 4,000원 | 등록일 2020.10.08 | 수정일 2021.10.18
  • VHDL코드를 이용한 4비트 감가산기 구현
    -설계 배경디지털 시스템 수업시간에 익힌 내용을 토대로 quartus로써 vhdl code를 작성하여 Digcom v3.2로써 3단스위치와 세그먼트를 이용한 4비트 감가산기를 구현하기 ... 하도록 한다.⑦ over값과 under값이 둘다 0일 경우 res 값을 sum에 입력하여 그 sum을 LED3~LED6에 2진수의 꼴로 표현된다.3. VHDL code--4bit s ... 에 구현을 하여 정상 작동하는지 확인 해보았다.비고이oo4비트 감가산기 설계1. 설계 목적- 디지털 시스템 수업시간에 익힌 내용을 이용하여 감가산기의 원리를 이해하고 VHDL c
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 판매자 표지 자료 표지
    VHDL을 이용한 LED 제어 소스코드
    Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_arith.all;Use ieee.std_logic_unsigned.all;Entity term_project isport(clk : in std_logic; S..
    리포트 | 3페이지 | 1,000원 | 등록일 2016.07.18
  • 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    1. 논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드를 4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력 ... 하고 B1에 출력한다.5. 출력된 B1와 G0 (LSB)의 입력값을 XOR 연산하고 B0 (LSB)에 출력한다.B) 진리표와 최소식위 방식은 그레이코드를 4비트 2진코드로 변환 ... 비트 그레이코드에 해당하는 2진코드를 확인할 필요가 있다. 이를 본 과제의 주제에 맞춰 진리표 형식으로 표현하면 다음과 같다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • VHDL로 구현한 해밍코드(Hamming code)의 인코더(encoder), 디코더(decoder) 설계
    적으로 에러를 정정하여 원래의 비트로 되돌려주는 Decoder의 설계를 한다.관련이론 : Hamming code란 컴퓨터 스스로 데이터 오류를 찾아낼 수 있는 코드로, 수학자 리처드 ... 코드는 패리티 검사(Parity Check) 등 보통의 에러 검출 코드들이 에러를 검출할 뿐 교정은 불가능한 것을 개선한 것으로, 대부분의 마이크로칩 디바이스에 채택되어 신뢰도
    리포트 | 4페이지 | 5,000원 | 등록일 2013.12.29 | 수정일 2020.12.14
  • VHDL을 이용한 Digital Clock(디지털 클락) 코드 및 보고서입니다.
    buzzer가 울리는 VHDL 모듈을 설계하고 이를 FPGA키트를 이용하여 구현한다.2. Project Proposal1. Digital Clock- 시, 분, 초를 표시
    리포트 | 13페이지 | 3,000원 | 등록일 2017.05.23
  • 디지털 회로 설계 32 bit carry lookahead adder vhdl quartus 설계 code 포함
    32-bit CLA의 코드를 직접 짜보고, quatus2의 사용방법을 익히고, Carry-Lookahead Adder에 대해 정확한 작 원리와 폭 넓은 이해력을 기르는 것을 목적
    리포트 | 10페이지 | 2,000원 | 등록일 2014.12.15 | 수정일 2021.07.26
  • bcd to excess 3 code converter vhdl code
    Contents TOC \o "1-3" \h \z HYPERLINK \l "_Toc194408883" Specify the VHDL codes for assignment 1 ... VHDL codes for assignment 2 and describe the codes in detail. PAGEREF _Toc194408887 \h 5 HYPERLINK \l ... imulation results in the report. PAGEREF _Toc194408888 \h 6Specify the VHDL codes for assignment 1 and
    리포트 | 6페이지 | 1,000원 | 등록일 2008.03.27
  • VHDL and, or xor 등 모든 게이트 (소스코드+ 결과 첨부)
    ? VHDL과 결과 비교1. and gateand gate VHDL 구문 and gate 결과2. nand gatenand gate VHDL 구문 nand gate 결과3 ... . nor gatenor gate VHDL 구문 nor gate 결과4. not gatenot gate VHDL 구문 not gate 결과5. or gateor gate VHDL 구문 ... or gate 결과6. xor gatexor gate VHDL 구문 xor gate 결과7. xnor gatexnor gate VHDL 구문 xnor gate 결과실험결과)VHDL
    리포트 | 3페이지 | 1,500원 | 등록일 2014.12.11
  • VHDL을 이용한 DE2보드 Addition, Subtraction 코드
    Library IEEE;Use IEEE.std_logic_1164.all;Use IEEE.std_logic_arith.all;Entity Lab3 is port ( SW : IN std_logic_vector(17 downto 0); ledr : OUT std..
    리포트 | 1,000원 | 등록일 2011.11.25
  • VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    를 저장하기 위한 변수signal a, b, c, d : std_logic:='0'; -- save a bit, in excess-3 code –-4bit의 변수를 각 bit ... 별로 내부신호에 저장하기 위해 선언begin-- enable신호와 입력신호가 변할 때마다 실행process(ex, i) -- excess-3 code convertbegincase i ... , Spartan-3 Board 1개, JTAG cable 1개2. 실 험 결 과 (Results)1) Source Code--Prelab 에서의 소스코드와 달라졌다.library IEEE
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 26일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:52 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감