• 통합검색(4,271)
  • 리포트(3,720)
  • 자기소개서(283)
  • 시험자료(151)
  • 방송통신대(85)
  • 논문(29)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

바로가기

방송통신대 - 2025 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료
판매자 표지는 다운로드시 포함되지 않습니다.

"디지털논리회로" 검색결과 1,421-1,440 / 4,271건

  • 판매자 표지 자료 표지
    설계 소프트웨어 실습 PSPICE 레포트
    )는 논리 해석으로 설계.(a) x’y’ + xy + x’y = (y’ + y)x’ + xy = x’ + xy회로도 구성시뮬레이션 결과b = (x + y)(x + y’)회로도 구성시뮬레이션 결과c = x’y + xy’ + xy + x’y’ ... point 1.2전자회로 교재 36p, ex1-3회로도시뮬레이션 결과Time domain 2.1회로이론 교재 원서 414p, P9.5-2회로도시뮬레이션 결과t = 0.5s 일 때t ... = 1s 일 때V _{c} (t)=-8te ^{-2t} 에서 v(0.5) = -1.47, v(1) = -1.08 와 일치함을 알 수 있다.Time domain 2.2전자회로 교재 79
    리포트 | 26페이지 | 1,500원 | 등록일 2020.03.25
  • 디지털 도어록 프로젝트 보고서
    목 차디지털 장치 선정 및 목적1.1 도어락 선정1.2 목적 및 목표도어락이란?2.1 도어락이란2.2 도어락과 관련된 신문기사준비물3.1 남땜 기구3.2 7세그먼트3.3 각종 ... IC 칩3.4 기타 기구설계 및 구현 과정4. 로직웍스 회로도 (구현과정)4.1 처음 구상한 회로도4.1 2번째 회로도4.1 최종완성회로도결과 및 고찰5.1 회로도 기판 완성 모습 ... (각 부분)5.2 애로사항 및 고찰참고문헌디지털 장치 선정 및 목적1.1 도어락 선정- 도어락의 대표적인 방식① 가장 보편적인 비밀번호 입력방식② 간편하고 편리한 근접식 카드방식
    리포트 | 13페이지 | 3,000원 | 등록일 2010.06.24 | 수정일 2018.06.10
  • 전자공학과 디지털 회로 및 실험설계 텀프로젝트 간이 전압계입니다.
    을 측정 할 수 있는 간이 전압계2. 제작 동기디지털회로 실험 및 설계 강의를 들으면서 우리가 배웠던 논리게이트, 여러 가지 플립플롭, 멀티플렉서, 디멀티플렉서, FND, 카운터 등 ... 디지털회로 실험 및 설계Term Project 최종 보고서학 과 : 전자공학과과 목 : 디지털회로 실험 및 설계팀 원 :담당교수 :보고서 제출일 : 2015년 6 월 7 일목차1 ... 었고 작품구상에 들어가게 되었다.3. 팀원 구성 및 역할학 과이름역 할전자공학과임종호회로구성 및 납땜, 외관전자공학과김정호외관 담당전자공학과신현재부품조달 및 정보검색4. 사용부품
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.06 | 수정일 2017.05.23
  • 디지털시계와 stop watch
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use ... IEEE.STD_LOGIC_UNSIGNED.ALL;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic ... ;push1 : in std_logic;push2 : in std_logic;push3 : in std_logic;digit : out std_logic_vector(1 to 6);s
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.18
  • 논리 대수와 드모르간 정리, 간소화 결과보고서 A+
    로만 들었던 함수 발생기, 오실로스코프를 직접 다뤄보면서 익숙해졌고, 디지털 공학 수업과 논리회로설계 시간에 배운 Timing-Diagram에 대해 직접 구현해보아서 의미가 있 ... Experiment-Report(4장 논리 대수와 드모르간 정리, 간소화)1. 실험목적실험적으로 Boolean 대수의 여러 법칙을 증명한다.규칙 10과 11을 증명할 회로를 구성 ... 한다.실험적으로 4입력 변수를 갖는 회로의 진리표를 결정하고, 수학적으로 등가인지를 증명하기 위해 드모르간 정리를 이용한다.2. 자료 및 관찰1.A + 0 = A의 회로구성
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 기계공학응용실험 A+, 9장 PLC 응용실험
    래더 로직 다이어그램(ladder logic diagram)을 작성하여 수행한다.3. 이론적 배경실험에 앞서 PLC의 래더 다이어그램의 기본이 되는 디지털 논리체계의 이해를 위한 ... 불대수와 기초연산자, 로직의 단순화 방법 등에 대해 살펴본다.(1) 불대수(Boolean algebra)불대수란 2진 변수와 논리동작을 기술하는 대수를 말한다. 논리회로의 형태 ... 시키는 효과적인 방법이다. 그러나 실제 문제의 로직은 복잡한 논리식을 포함하는 경우가 많으며, 이를 해석하는 일은 간단하지 않다. 디지털 회로이론에서는 그래프 분석기법인 카르노 맵과 같
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.03
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    . 실험 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인을 하기에 앞서 Schematic 설계를 수행한다. ISE의 여러 logic gate 및 도구들을 사용해 최종 ... 다. 일반적으로 Xilinx ISE를 이용해 FPGA를 설계하는 과정은 다음과 같다.2) 본 실험에서 사용되는 논리회로(1) AND gateAND 게이트- 논리곱을 구현하는 기본 ... 디지털 논리 게이트이다. 두 입력이 모두 1일 때 결과가 1이 출력된다.(2) Single-bit half Adder반가산기: 두 개의 입력 비트(A, B)를 더하여 합(S)과 자리
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • 4차 산업혁명 시대의 컴퓨터 개론(개정판) 1~4 단원 솔루션
    중 가장 대표적인 인물로서 “제3의 물결”이란 저서를 쓴 학자는 누구인가? 앨빈 토플러2. 디지털 정보를 구성하는 가장 기본적인 단위로서 binary digit의 약자는 무엇인가 ... 는 이유가 무엇인지를 설명하시오.→ 논리 연산자로 논리회로, 논리회로, 논리부정 회로 등이 있다. 2진 정보를 취급하며 보통 2개 이상의 입력 단자와 하나의 출력 단자 ... chapter 01정오식 문제1. 디지털 혁명은 아날로그에서 디지털로의 변환을 의미한다. O2. 아날로그 정보는 음성 정보와 같이 연속성이 있고 끊어지지 않는 특징을 가지고 있
    시험자료 | 12페이지 | 2,000원 | 등록일 2020.04.22 | 수정일 2022.05.11
  • V. 제어기술 요약정리 및 예상문제
    은 항온 항습 제어용으로 가장 많이 사용되어지고 있다.9.디지털식 계기(DDC 제어)- 비교적 새로운 조절기로 온도, 습도 등의 제어량을 아날로그/디지털 변환(A/D 변환) 하 ... ,NFB): 전기회로를 개폐할 수 있으며, 단락보호와 과부하 목적으로 사용된다. 단락이나 과부하시 자동적으로 트립되어 회로를 자동으로 차단하며 트립의 원인을제거한 후 다시 손잡이를 올리 ... 면 정상작동을 한다.22.부울대수- 0 : L레벨, 접점 OPEN, 코일 소자(전원 off)- 1 : H레벨, 접점 CLOSE, 코일 여자(전원 on)23.논리적(AND) 연산
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.04.28
  • 컴퓨터구조 이론 및 실습 [아두이노 논리게이트 및 조합논리회로 실습]
    1] 논리 게이트란? 논리 회로 : 부울 대수를 이용하여 1개 이상의 논리 입력을 일정한 논리 연산에 의해 1개의 논리 출력을 얻는 회로논리 게이트 : 논리 ... 회로에서 뜻하는 대로 게이트 종류에 따라 게이트에 입력한 값에 따라 출력하는 값이 다르도록 설계한 게이트4] 조합 논리 회로란 무엇인가? 조합 논리 ... 회로 : 출력신호가 입력신호에 의해서만 결정되며, 기본 논리소자의 조합으 로 만들어지는 회로이며, 플리플롭과 같은 기억소자는 포함하지 않는 논리회로이다. ② 가산기
    리포트 | 49페이지 | 5,000원 | 등록일 2019.10.02 | 수정일 2019.10.09
  • [전기실험] 논리소자를 통한 전기적 소자 실험
    1.실험목표NAND 게이트와 NOR게이트를 이용해 원하는 회로를 구성할 수 있다.2.실험 이론논리회로란 부울 대수(Boolean algebra)를 이용하여 1개 이상의 논리 입력 ... 을 일정한 논리 연산에 의해 1개의 논리 출력을 얻는 회로를 뜻한다.각 논리회로마다 입력에 따른 출력이 정해지는 진리표가 있으며 복잡한 논리회로일지라도 부울대수, 카르노맵을 이용 ... 한 간략화를 통해 보다 좀더 간단하게 회로를 구성할 수 있다.이번 실험에 사용될 논리회로는 NOR와 NAND로써 기본 AND,OR에 인버터 (~NOT)가 붙은 소자이다 .왼쪽아래
    리포트 | 4페이지 | 1,500원 | 등록일 2019.06.29
  • 광운대학교 전기공학과 1학년 실험2
    를 높인다.◆관련 이론◆◎기본 논리게이트디지털 논리회로에서 논리변수의 입력과 논리변수 출력간의 함수관계를 나타내는 기본적인 단위를 논리게이트라 한다. 그리고 입출력변수간 논리적 함수 ... 여 익히도록 한다. 그리고 기본 논리소자를 사용한 간단한 회로의 구성과 측정법을 익혀 Open- collector 타입의 IC의 사용법과 특성을 익혀 전기회로실험에 대한 이해도 ... 게이트들은 디지털 IC의 형태로 주어진다. 디지털 IC의 대표적인 것은 TTL이라 불리우는 계열의 집적회로들로서 7400, 7401,... 등으로 번호가 매겨져 있다. 여기서 앞
    리포트 | 7페이지 | 1,000원 | 등록일 2019.06.30
  • 디지털 텀프 정환 디지털오르간
    Term project - 디지털오르간과 목디지털논리회로실험학 과전자공학과요 일화요일조1조조 원담당교수담당조교Ι. 제목DIGITAL ORGAN (Using Counter with ... 하는 출력 주파수를 없다. 이는 단순히 디지털로 구현하기 어려웠는데, 두 스위치이 모두 1일 경우의 주파수를 찾기가 힘들었다.우리가 구현한 회로에 추가적인 기능을 더한다면 메모리를 이용이다. ... 도록 한다.ㆍ 추가 구현으로는 각각의 건반을 누를 때 주파수의 높낮이에 따라 LED가 순차적으로 점등되는 Equalizer를 구현한다.Ⅲ. 프로젝트 개요Digital Organ
    리포트 | 13페이지 | 1,000원 | 등록일 2013.01.24
  • 부산대 기계공학응용실험 PLC응용실험 보고서 A+
    논리식을 포함하는 경우가 많으며, 이를 해석하는 일은 간단하지 않다. 디지털 회로이론에서는 그래프 분석기법인 카르노맵과 같은 방법을 사용하여 논리식을 단순화한다. 이는 논리항 ... diagram)을 작성하여 수행한다.[참고문헌 : 윤순현 외 10 , 기계공학 응용실험, 제 3판, 청문각]3. 이론적 배경실험에 앞서 PLC의 래더 다이어그램의 기본이 되는 디지털 논리체계 ... 의 이해를 위한 불대수와 기초연산자, 로직의 단순화 방법 등에 대해 살펴본다.(1)불대수불대수란 2진 변수와 논리동작을 기술하는 대수를 말한다. 논리회로의 형태와 같은 구조를 기술
    리포트 | 10페이지 | 2,000원 | 등록일 2020.06.08
  • 디지털시스템실험 3주차 예비보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목기본적인Combinational Circuit① Decoder 설계 ... ① Decoder디지털 시스템에서 binary 코드로 표현된다. n 비트로 된 2진 코드는 2ⁿ개의 서로 다른 정보를 표현할 수 있다. 디코더는 입력 선에 나타나는 n비트의 2진 코드 ... 를 최대 2ⁿ개의 서로 다른 정보로 바꿔 주는 조합 회로이다. 만일 n 비트 디코딩된 정보를 사용하지 않거나 또는 무관 조합을 갖게 된다면 디코더의 출력 수는 2ⁿ개보다 적게 된다
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • [대충] 결과 가산기와 ALU 그리고 조합논리회로 응용
    디지털공학실험(결과보고서)실험 : 가산기와 ALU 그리고조합논리회로 응용◆실험가. 2개의 입력과 출력을 표시하고 ALU를 이용하여 16진 가감산 결과를 확인하는 실험을 해 보
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 기본논리 게이트의 회로도 진리표 논리식을 정리하세요
    디지털 공학 개론 기본 논리 게이트의 회로도 , 진리표 , 논리식을 정리하세요 . 2. 2 변수 ,3 변수 입력을 가진 논리식을 각각 5 개씩 만든 후 부울 대수의 법칙을 적용 ... 하여 간소화 하시오 . 3. 2 번에서 간소화한 식에 대한 회로를 그리시오 . 1. 기본 논리 게이트란 ? 정보 ·통신 앤드 (AND), 오어 (OR), 노어(NOR), 낸드 ... (NAND), 노트(NOT) 따위와 같이 하나의 논리 회로를 구성하는 데 사용되는 기본 단위. 일반적으로 낸드 게이트와 노어 게이트가 널리 사용되고 있다. * 네이버 백과사전 참조 2
    리포트 | 18페이지 | 2,500원 | 등록일 2020.01.27
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 예비 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    , Mux/Demux인 조합회로를 Verilog HDL 언어를 사용하여 설계 및 실험하고자 한다.2. 배경 이론조합논리 회로조합논리 회로는 입력에 의해 출력이 결정되는 회로 ... 로, 논리 게이트(AND, OR, NOT)로만 구성되면 플립플롭과 같은 기억소자는 포함되지 않는 회로를 뜻한다. 오늘 실험할 Encoder/Decoder, Mux/Demux 또한 조합논리 ... 더의 진리표와 논리회로이다.4:2 Encoder의 Karnaugh Map을 이용한 최적화하면 다음과 같다.Y=D2+D3 X=D2+D3D1D301001110D1D301001110
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 판매자 표지 자료 표지
    전자공학과 대학원 자기소개서 작성 성공패턴 면접기출문제와 구두면접예상문제 입시시험문제
    , 커패시터, 인덕터) + OP Amp를 사용한 회로의 분석을 배우는 기초적인 과목. 선수 과목으로 미적분 및 일반물리를 요구한다..논리회로(디지털 논리회로) 및 실험 - AND ... 한다..디지털시스템 설계.ASIC(주문형 반도체 회로) 설계.VLSI(대규모 집적 회로) 설계 - 선수과목으로 논리회로를 요구한다..SoC(System on Chip) 설계 ... , OR, NAND등 디지털 회로를 만드는데 사용되는 기초적인 이론을 배우는 과목.전자 회로 (및 실험) - 기본적인 전자소자(주로 트랜지스터)의 동작원리 및 소자를 이용한 회로 설계
    자기소개서 | 436페이지 | 9,900원 | 등록일 2019.05.10
  • 정보기술과 디지털 기술
    네트워크와 연관.- 여기서 디지털 기술들에 초점을 맞춤.- 무어의 법칙(Moore's Law): 모든 현대 디지털 장치의 기반인 통합 회로로 저렴하게 배치될 수 있는 구성요소 ... # 정보기술이란 무엇인가?? 정보기술(information technology)- 1950년대 : 기계화된 다큐멘테이션과 새로운 디지털 컴퓨터의 적용을 기술하기 위해 처음 사용. ... 들의 수는 매 2년마다 대략 2배가 된다고 주장.# 디지털 기술? 디지털 장치- 더욱더 상호 연관- 다른 종류의 장치 및 제품들과 더욱 통합- 더 작아지고, 더 퍼지게 됨.- 정보
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.01.03
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 16일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:50 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감