• 통큰쿠폰이벤트-통합
  • 통합검색(4,033)
  • 리포트(3,744)
  • 자기소개서(232)
  • 시험자료(32)
  • 방송통신대(11)
  • 논문(7)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로실험 2" 검색결과 3,481-3,500 / 4,033건

  • 보건교육 컴퓨터
    1.컴퓨터의 정의->전자회로를 이용하여 자동적으로 계산이나 데이터를 처리하는 기계로 프로그래밍이 가능하다. 입력자료를 받아들여 처리하고 그 정보를 저장하고 검색하여 결과를 출력 ... 하는 일을 한다. 아날로그형과 디지털형이 있으나 1960년 이후로는 거의 디지털형만이 이용된다.2. 컴퓨터의 개념과 구성1)입력장치-필요한 정보를 컴퓨터에 입력시키는 장치.-마우스 ... , 조이스틱, 접촉화면, 전자펜, 그래픽판, 전자칠판, 스캐너, 패들, 마이크, 디지털 카메라, 디지털 비디오 카메라, 센서가 달린 조끼나 장갑.2)중앙처리장치-컴퓨터의 두뇌에 해당
    리포트 | 11페이지 | 1,000원 | 등록일 2010.08.25
  • [교직]컴퓨터 구조 교과연구
    교과의 내용1) 컴퓨터 구조의 개요⑴ 컴퓨터의 구성⑵ 컴퓨터의 동작2) 연산 장치⑴ 연산 장치의 구성⑵ 논리 연산 회로⑶ 산술 연산 회로3) 제어 장치⑴ 제어 장치의 구성⑵ 명령 ... 을 구성하거나 운용할 수 있도록 구성된 이론 및 실습 통합 과목이다.2. 컴퓨터 구조 교과의 목표컴퓨터의 기본적인 구조와 동작 원리를 각 구성 요소별로 이해함으로써 시스템 구성에 필요 ... 장치⑶ 출력 장치⑷ 입출력 방식⑸ 인터페이스⑹ 데이터 전송4. 컴퓨터 구조 교과의 교수ㆍ학습 방법1) ‘컴퓨터 구조의 개요’ 영역은 컴퓨터 구조의 기본 개념을 중심으로 지도한다.2
    리포트 | 7페이지 | 2,000원 | 등록일 2008.10.04
  • 공진주파수측정
    실험하고자 한다.2. 실험 결과의 개요- 이번 공진주파수 측정 실험을 통해 물체의 진동수와 고유진동수, 공진을 알게 되었고 1차, 2차, 3차의 각각의 진동 모드 때 발생하는 물체 ... 화해야 하는 것도 알 수 있었다.목 차Ⅰ. 서 론1. 실험목적 ? ? ? ? ? ? ? 1page2. 실험이론2-1. 진동수란?2-2. 고유진동수란?2-3. 진동 모드 ... ? ? ? ? ? ? ? 2~5page2-4. 진동 모드 현상 ? ? ? ? ? ? ? 6pageⅡ. 본 론3. 실험장치 ? ? ? ? ? ? ? 6page3-1. Vibration
    리포트 | 14페이지 | 1,000원 | 등록일 2008.09.18
  • VHDL Decoder and Encoder(prelab 입니다) xilinx vhdl
    1. Purpose of the Experiment이번 실험은 조합논리회로를 이용한 74LS138 decoder를 이해하고 설계하는 것이다. 즉, n개의 입력에 대하여 2n개 ... . Purpose of the Experiment HYPERLINK \l "theory" 2. Theory(Pre Report) HYPERLINK \l "실험도구" 3. Materials ... 전자전기컴퓨터설계실험IIIPRELAB REPORT[Decoder and Encoder]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "purpose" 1
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • 실험8. 10진 BCD 부호 변환기
    디지털논리회로 실험예비 레포트한양대학교전자정보시스템전공 3학년실험8. 10진 BCD 부호 변환기학 번2003040520성 명우 재 홍관련이론○ 10진-BCD 인코더? 10 데이터 ... 하는 수가 단일 비트가 변화하여 만들어진 코드로서 입력코드로 사용될 때 오차가 적게 나오는 장점? 2진수를 그레이 코드로의 변환 회로? 그레이 코드를 2진수로의 변환 회로패리티 ... 입력, 4 데이터 출력? 입력의 개별적인 사건에 대한 BCD 코드 할당○ 10진수를 BCD코드로 바꾸는 이유사람은 10진수를 사용하는 것에 익숙하지만 컴퓨터는 2진수밖에는 읽
    리포트 | 3페이지 | 1,500원 | 등록일 2008.05.25
  • 트랜지스터 다단 증폭기 실험 결과 보고서
    (논리회로실험)실험. 6 트랜지스터 다단 증폭기(결과 보고서)실험 5-1 : 교류 결합형 다단 증폭기 (AC coupled amplifier)(1) 증폭기 구성 : 다음 회로 1 ... active mode에 있어 이 회로는 올바르게 설계 되었음을 실험적으로 확인 할 수 있다.회로 1의MOS의 curve trace가 2.28V근처임을 통해 동작점 확인, 8.8V ... 하겠다.(예비보고서에서의 회로 설계값)(2) AC coupled capacitor가 신호에 미치는 영향 : 삼각함수 신호의 주파수를,,,로 바꾸어 가면서 capacitor,,앞
    리포트 | 15페이지 | 3,000원 | 등록일 2007.06.18 | 수정일 2015.08.26
  • [디지털 논리회로 실험]부울대수 및 조합논리회로 실험
    실험 3. 부울대수 및 조합논리회로 실험주 제 : 부울대수의 공리 및 정리를 익힌다.조합논리회로를 설계함에 있어 필요한 여러 가지 방법을 익힌다.관련이론1. 부울대수? 부울대수 ... 는 논리회로를 수학적으로 해석하기 위해 영국의 수학자 George Boole이 제안한 것이다.? 컴퓨터는 디지털 회로로 구성된 디지털 시스템으로 참(true)과 거짓(false ... ), 또는 1과 0, 전기 신호의 유무 등 두 가지 상태로 표현하여 처리하는 이진 논리회로로 구성되고, 이러한 이진 논리회로는 부울대수식으로 관계를 표현하기 때문에 회로의 동작 원리
    리포트 | 4페이지 | 1,000원 | 등록일 2006.05.12
  • FPGA를 이용한 신호등구현
    되며, 전공정을 다 거쳐서 제조된다는 점에서 완전주문형 설계와 유사하다.? 표준 셀은 동작과 성능이 이미 입증된 디지털 논리 기능 혹은 아날로그 회로 기능이 소프트웨어 파일의 형태로 이름 ... 프한 학교 교육에서 이론적인 교육환경을 벗어나 이론에서 얻은 결과를 직접 눈으로 확인 할 수 있도록 하는 환경을 제공하기 위한 디지털 논리 회로 설계 실습 장비이다.? 산업 현장 ... 에서 디지털 회로설계에 많이 적용되고 있는 FPGA를 이용하여 사용자가 설계한 회로를 직접 하드웨어를 이용하여 동작시킴으로 이론교육에서 얻을 수 없었던 여러 가지 동작 현상을 실험
    리포트 | 52페이지 | 1,500원 | 등록일 2007.08.08
  • VHDL. 기본 논리게이트 설계하기
    /FPGA를 설계할 때 추상도가 높은 레벨을 사용함으로써 어려운 논리식을 사용하지 않고 설계시간도 단축할 수 있다. 이번 실험에서는 AND, OR, XOR 기본 논리게이트를 설계 ... 고 사용할 수 있어야 한다.3. Implementation1) VHDL가) 주어진 조건에 따라 2. 1) 다)까지 VHDL Module을 수행한다. 회로 전체가 entity이고 ... 하고 Test Bench를 사용하여 올바른 simulation 결과를 얻고자 한다.2. Problem Statement1) Describe what is the problem
    리포트 | 7페이지 | 1,000원 | 등록일 2007.07.18
  • [공학기술]VHDL을 이용한 카운터 설계
    논리회로설계실험_11조_실험일(070509)1.4bit_countersource codelibrary ieee;use ieee.std_logic_1164.all;use ieee ... :std_logic_vector(2 downto 0):="000";beginprocess(rst,clk)beginif (rst='0') thencnt_outrst,cnt_out ... =>out1,out_clk=>clk2);U2: counterport map(clk=>clk2,rst=>rst,cnt_out=>out2,out_clk=>clk3);U3: c
    리포트 | 4페이지 | 5,000원 | 등록일 2007.12.11 | 수정일 2015.06.25
  • up/down counter
    실험 15. UP/DOWN 카운터실험 목적 ;증계수, 감계수 및 증/감계수의 논리를 이해한다.원리 ;증가 2진 카운터 sequence에서는 내부의 상태를 변화하는 과정이 출력 ... 다.실험 방법 ;1. 회로를 구성하고 DCBA = 1111로 세트시킨 후 클럭을 가하여 상태표를 작성하라. DCBA=0000까지 계수한 후에는 어떤 상태로 변하는가?2. 회로를 구성 ... 고 BA=00가 되도록 감계수하라. 다시 X=1로 바꾸는 순간 어떤 현상이 생기는가?3. 는 회로를 클럭에 동기시켜 확장시킨 회로이다.입력 X 및 클럭의 신호구성은 실험 2와 동일
    리포트 | 6페이지 | 1,000원 | 등록일 2006.11.23
  • 충북대 전기전자공학 디지털실험 19장 예비보고서
    'B + AB'DDa = A'는 주어진 논리식을 이용하여 카운터의 논리회로를 설계한 것이다.(2) 4x7 segment controller bock 설계4x7 segment ... 실험 19. stopwatch 설계목 적1. stopwatch의 기능과 구조를 이해한다.2. stopwatch에 들어가는 Block 설계를 한다.3. stopwatch의 동작 ... 로 4개의 출력 상태를 주기 위해 programmable timer로부터 입력 clock을 받아들여 2bit의 출력을 만든다. d-f/f을 이용하여 실험 중 설계한다.(ㄹ)2x4
    리포트 | 5페이지 | 1,000원 | 등록일 2008.02.18
  • 대우조선해양 합격 자기소개서
    었습니다.3. 귀하께서 수강했던 전공(필수&선택) 과목 중 가장 관심 있었던 10과목을 과목명 / 취득평점 순서로 기술하십시오. ( 400자 이내 )전자회로실험/A+회로이론2/A+전자회로 ... 2/A+자동제어/A+전자기학2/A+기초전기실험/A+논리회로설계/A+아날로그 회로 설계 실습/B+전자장론/B+신호 및 시스템/B+4. 사회봉사활동(취지, 기관/단체명, 활동기간 ... 다' 라는 마음가짐을 가집니다. 긍정적인 마인드는 나 자신을 활동적으로 만들고 다른 누구보다 문제를 더 빠르고 명확하게 해결할 수 있게 하는 힘이 되어 줍니다.2. 귀하
    자기소개서 | 3페이지 | 3,000원 | 등록일 2008.04.27
  • 관로 마찰 실험 결과
    의 이해와 major loss, minor loss가 어떤 것잉ㄴ지를 이해하고 구하는 방법을 터득한다.2. 기초 이론① Assumption: 실험실의 온도를 20℃→약 293K ... (18,19), Orifice(20,21), 급확대관(22,23), 급축소관(23,24)의p를 측정한다. ->이 실험의 경우 2개 선택.⑪ 모든 실험값을 구한 후 이론값과 비교 ... 를 수행하는 구조물은 마이크로 또는 나노크기의 관로로 만들어 진다고 하는데 그 원리가 반응에 따른 양 단의 압력차에 의한 일종의 논리회로와 같은 역할을 한다고 한다. 이 또한 그 배경
    리포트 | 13페이지 | 1,000원 | 등록일 2009.10.15
  • 기본 논리 게이트 설계 실험-예비보고서
    -7분반기본 논리 게이트 설계 실험0541045 송기선① 게이트들을 트랜지스터로 어떻게 구현하는지 알아보시오.- AND 게이트 : TR 의 E B C 중 두 개의 입력을 B ... 단자가 A, B 일 때, 이들이 결합되는 네 가지 조합에 대하여 논리합과 동일한 결과를 출력하는 회로이다.트랜지스터로 구현하면 오른쪽 그림과 같고,OR 기능을 수행하는 14핀 ... 는 1이 출력되고, 1이 입력되면 0이 출력되며, 논리 부정의 논리식으로 표현하고 트랜지스터로 구현한 회로는 오른쪽 그림과 같다.집적회로의 내부 구조와 핀의 위치는 아래 그림과 같
    리포트 | 6페이지 | 1,000원 | 등록일 2006.11.08
  • 뇌구조, 기능 및 명칭 [뇌,대뇌,간뇌,대뇌 피질,뇌구조,뇌기능,뇌명칭,Brain]
    기저핵은 상위뇌-기저핵-시상-상외뇌로 이어지는 순환회로의 일부로 이루어져 있다.(2) 기능기저핵은 수의근 운동을 수정하고 조정하며 운동신경계의 계속적인 신경충동을 억제한다. ?만일 ... 은 물론, 희로애락의 정서감정을 주관하고, 학습과 기억, 언어 활동, 그리고 사색 및 창조족 정신기능 등 고등한 정신활동이 이루어지는 곳이다.2. 간뇌(Diencephalom)뇌간 ... 의 피질(1) 개요대뇌의 피질은 회백질로 구성되어 있으며, 신경세포체가 많아 각종 중추 역할을 한다. 두께는 위치에 따라 조금 다르지만, 평균 2.5mm로 꽤 얇으며, 분홍색
    리포트 | 12페이지 | 2,000원 | 등록일 2012.03.18 | 수정일 2018.12.12
  • 전기기기 수업지도안
    전력용 반도체의 종류와 특성3111.2~11.731205~2121.전력용반도체 소자트라이액의 케이트 드라이브 회로 제작3211.9~11.1432213~2182. 전동기의 위치 및 ... . 고등학교 교육목표 --------------12. 국가수준의 공업 교과 교육과정가. 성격--------------1나. 목표 --------------2다. 교수·학습 방법-- ... ------------2Ⅱ. 교과 개간1. 전기기기 교과의 목표와 성격가. 성격--------------3나. 목표--------------3다. 단원 및 내용-----------
    리포트 | 21페이지 | 4,000원 | 등록일 2009.05.28
  • 뇌인지과학이론.ppt
    - 유아들이 하늘에서 왜 비가 내린다고 생각하는지 이야기해보고 자유롭게 발표해 본다. 2. 비 오는 과정을 실험해본다. ① 자료를 이야기 한다. - 여기에 무엇이 있지? - 이 자료 ... 을 동원해서 기억하기 2.무엇이 되었건 기억하고자 하는 것을 이미지로 연결하기두뇌형성 과정에서 환경의 영향극적이고 독특하기 때문에 발달의 전반적인 방향뿐만 아니라, 두뇌에 있는 신경 ... 회로의 망이 어떻게 구성될 지에도 영향을 줌. ▶ 모든 개인은 다른 경험을 하면서 살아가므로, 개인 각자의 특수한 연결패턴인 각 두뇌의 배선도가 인간을 각각의 고유한 사람이 되게
    리포트 | 26페이지 | 1,500원 | 등록일 2009.03.29
  • 디지털공학실험 예비보고서
    ' + A'BC + AB'C +ABC'KD = A'B'C +A'BC' +AB'C' +ABC9.3.2설계된 회로에서 주어진 상태도에 없는 상태값을 찾아서 전체 상태도를 완성하라 ... 'B'CD+A'BC'D+A'BCD'+AB'C'D+AB'CD'+ABC'D'+ABCD9.3.4아래에 주어진 순서논리회로를 해석하여 상태도를 구하라.ABCA+B+C ... 실험 9 기초 계수기 설계9.3 실험내용9.3.1주어진 상태도에 따라 움직이는 4 bit 동기 계수기를 7476 J-K 플립플롭을 사용하여 설계하여라. (MSB가 A이다)0000
    리포트 | 5페이지 | 1,500원 | 등록일 2007.10.21
  • [디지털]디지털논리회로 실험
    2입력 Inverter)? 저항: 680Ω, 220Ω? 콘덴서: 0.01μF제 2장 UNIVERSAL 게이트 (NAND, NOR)1. 실험 목적논리 회로에서 가장 많이 사용 ... 되는 유니버셜 게이트인 NAND, NOR Gate의 기본 논리 동작 및 특성을 실험을 통하여 이해한다.2. 실험 과정, 회로도 및 타이밍 다이어그램(1) NAND Gate① 2입력 ... 제 1장 기본 논리 게이트 (AND, OR, NOT)1. 실험 목적기본 게이트 (AND, OR, NOT)의 동작 특성을 이해하고, 이들을 응용할 수 있는 능력을 기른다.2. 실험
    리포트 | 18페이지 | 1,500원 | 등록일 2006.03.22
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 29일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:02 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감