• 통합검색(589)
  • 리포트(513)
  • 논문(41)
  • 시험자료(14)
  • 자기소개서(11)
  • 방송통신대(10)
판매자 표지는 다운로드시 포함되지 않습니다.

"Digital Logic Circuit Simulator" 검색결과 241-260 / 589건

  • [디지털시스템실험(Verilog)] Data Mapping Unit, Execution Combination Top 예비보고서
    Execution Combination Top을 구현한다.실험준비물ModelSim(HDL Simulator)기본지식① Data Mapping UnitArithmetic logical unit ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 예비 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor ... Mapping Unit, Arithmetic Logical Unit, PC Calculation Unit, Branch Handler,Address Generator를 합쳐
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • 반도체 산업 공급체인관리의 분석
    핵심부품으로 Micro Processor Unit, Digital Signal Processor 등이 있음Logic(ASIC)사용자의 요구에 의해 설계된 특정회로 반도체 ... 고, 두께 조절이 어렵다는 단점이 있다.6.6.6.6. 금속화(Metallization), 배선(Circuit Drawing)금속 공정이란 Metallization
    리포트 | 21페이지 | 3,500원 | 등록일 2019.12.01
  • 기계공학응용실험 - PLC의 활용 결과보고서
    (Programmable Logic Controller)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨텆어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 제어로직을 설계할 수 있 ... 위한 능력을 배양하는 데 목적이 있다.나. 실험이론(1) 래더 로직 다이어그램(Ladder logic diagram)래더 다이어그램은 프로그램 가능 제어기의 언어이다. 래더 ... 가 결합되어 구성된다. NEMA(미국전기공업협회)의 정의로는 ‘기계나 프로세서를 제어하기 위해 논리 시퀀스, 카운터, 타이머, 산술 연산 기능을 첨가시킨 디지털 전자장치’로 PLC
    리포트 | 14페이지 | 1,000원 | 등록일 2018.04.11
  • 전자전기컴퓨터설계실험1 5주차 Postla PSPICE
    ) Purpose of this LabPspice(Professional Simulation program with Integrated Circuit Emphasis)를 이용하여 전기, 전자 ... , 디지털회로의 해석 및 설계를 할 수 있다. PC로 회로해석과 설계를 미리 실험해봄으로써 예상값을 쉽게 구할 수 있다.2) Essential background for this ... % 내외로 예상된다. 또 pspice를 통해 파형을 관측해보면 RC 및 RL 회로에서 파형의 형태가 Open Circuit 혹은 Short Circuit의 경우와 비슷한 점을 관측
    리포트 | 30페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 1장 . 디지털 논리회로 예비지식
    에 의한 기본펄스파형▶ Edge trigger- Rising edge에서 제어신호 변함- Failing edge에서 제어신호 변함● Digital Integrated Circuit ... ● Digital IC의 종류▶ TTL IC (Transistor - Transistor Logic IC) 종류- 74□□xx, 54□□xx- 74는 상용 TTL, 54는 군사용 ... 1장 . 디지털 논리회로 예비지식1. 실험 목적▶ 보고서 작성 간략화▶ 이론검증 실험▶ 자발적인 발표수업▶ 협력과 토론에 의한 Know-how 터득▶ 참여 학생 동기부여▶ 창의
    리포트 | 8페이지 | 1,000원 | 등록일 2013.10.15
  • 6주차 결과 전자전기컴퓨터설계실험3(2014.04.04.)
    내는 electric circuit 이다. Clipper, clipping circuit, 리미터(limiter)라고도 한다. 즉 amplitude limit 진폭제한 회로이다.2 ... 하여 결과를 확인한다.(2)Materials of this Lab- Function Generator- Oscilloscope- Breadboard-Digital Multimeter ... 에 클리퍼 회로의 파형을 표시하고 사진을 찍고 설명하라.PSpice 회로구현Simulation 결과값예상결과값1)   → ∴  2
    리포트 | 18페이지 | 1,500원 | 등록일 2014.07.01 | 수정일 2020.08.21
  • 시립대 전자전기컴퓨터설계실험1 5주차 결과레포트
    (Simulation Program with Integrated Circuit Emphasis)는 트랜지스터의 동작점, 과도 특성 해석 및 주파수 응답 해석 등의 전기, 전자회로 ... 어 실험이 끝나면 시뮬레이션과 실제 결과를 비교하여 그 차이를 분석한다.이론적 배경전기, 전자 및 디지털 회로 등을 설계 할 경우에는 회로 특성을 평가할 수 있는 정확한 방법 ... 을 손쉽게 수행할 수 있게 되었다.SPICE는 워크스테이션 이상의 중, 대형 컴퓨터에서만 사용가능 하였으나, 1984년 PSpice(Professional Simulation
    리포트 | 18페이지 | 2,000원 | 등록일 2016.03.06
  • 전자전기컴퓨터설계실험1 8주차 Postlab OpAmp
    . Introduction1) Purpose of this LabOp-Amp(operational amplifier)의 작동 원리를 이해하고 여러 가지 Op-Amp Circuit(반전증폭기, 비반전증폭기 ... , 미분기, 적분기) 등을 구현하고 실험을 통해 이론을 확인한다.2) Essential background for this Lab?Circuit Symbol of Op-Amp단자 ... 라는 기본가정을 바탕으로 Nodal Analysis 및 Mesh Analysis 그리고 KCL, KVL을 통해 Op-Amp Circuit을 해석할 수 있을 것이다. 배경이론
    리포트 | 17페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 전전컴설계실험2-8주차예비
    -8주차 Pre Lab#6-Sequential-Logic-Design-Ⅰ(Flip-Flop, Register and SIPO)학과전자전기컴퓨터공학부학번2009440138이름정필웅 ... 의 순차 논리 회로를 직접 설계하는 과정을 통해 이론적인 내용과 실제 Simulation과 하드웨어 장비동작으로 검증해본다.(2)Essential Backgrounds for this ... 의 결과 또는 입력 신호에 의하여 동작이 구성되기 위해서는, 그 값을 저장해 줄 기억소자가 필요하기 때문에 대부분의 디지털 시스템은 조합 논리 회로와 기억소자로 구성된다.그 중
    리포트 | 11페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • [예비]실험2. CMOS 회로의 전기적 특성
    하는 시간)Transition time은 안정되어 있는 입력 신호에 반응을 할 때 2개의 다른 안정된 상태 사이에서 전환할 필요가 있는 시간이다. 즉, Logic circuit ... ? Capacitive load(AC 또는 Switching 특성)? 전달지연(Propagation delay ? 입력이 출력으로 전달되는 시간)Digital circuit ... output level의 50% 출력에 소비된 시간으로 정의된다. Digital circuit에서 Propagation delay들의 감소는 매우 빠른 비율의 데이터를 진행
    리포트 | 4페이지 | 1,500원 | 등록일 2013.09.28
  • 예비보고서-Exp 8. Co-Simulation & Co-Emulation Using FPGA
    hould design a complex digital circuit in verilog HDL, we are going to deal with a digital circuit ... circuit design in verilog HDL- Software Simulation with a test-bench- H/W Synthesis- Co-Simulation ... onfigure a device?Bought off-the-shelfand reconfigured by designers themselves2) Digital Circuit
    리포트 | 6페이지 | 2,000원 | 등록일 2010.10.09
  • 실험10 Digital logic(예비)
    예비보고서Digital Logic실험일 :제출일 :학 번 :이 름 :- 실험목적트랜지스터의 이용하여 inverter 및 nor gate를 구성한다.입력전압에 따른 inverter ... logic을 그림의 회로와 같이 연결 한 후 V1=0 V2=0, V1=5 V2=0,V1=0 V2=5, V1=5 V2=5를 인가한 후 출력 전압을 측정한다.- PSpice ... Simulation1)inverter-고찰(V4, V3는 위 회로도)이 실험은 V4를 0~5V로 pulse파형을 주어 그에 따른 Vin 과 Vo그래프를 비교하는 실험이었다. 입력 전압을 5V
    리포트 | 4페이지 | 1,000원 | 등록일 2014.12.11
  • Basic Logic Circuit Design
    RAM, and other digital logic circuits. CMOS technology is also used for a wide variety of analog ... Pre - ReportBasic Logic Circuit DesignDepartmentYearStudent IDClassTeamName전기전자공학과22007142123thu1-5 ... Metal Oxide Semiconductor)-TTLTTL is a class of digital circuits built from bipolar junction
    리포트 | 5페이지 | 1,500원 | 등록일 2012.11.27
  • 9조 pre 8주 p-mos(CMOS Inverter)
    제 8주차 Pre Report 실험제목: C-MOS Inverter & N-MOS Bias Circuit 담당교수: 박병은 교수님 담당조교: 박인준 조교님 실험일: 2013.05 ... ……..1 Hyperlink \l "_Toc352017992" III.Simulation result & Analysis3 1. C-MOS Inverter 구현 ... ………………………………………………………………………………………….…3 2. N-MOS Bias Circuit 구현 및 ID 계산…………………………………………………………………...5 Hyperlink \l
    리포트 | 8페이지 | 2,000원 | 등록일 2014.03.06 | 수정일 2025.04.11
  • 서강대학교 디지털논리회로실험 7주차결과
    디지털논리회로실험실험7. Finite State Machines담당교수 : 김 영 록제 출 일 : 2013. 11. 05.(화)학 과 : 전자공학과성 명 :1. 실험 제목 ... -flop을 이용하여 JK Flip-flop으로 사용할 수 있다. 그 때의 Logic equation은D`=`J bar{Q} `+` bar{K} Q 이다. 따라서 D Flip-flop ... 을 이용하여 T Flip-flop으로 사용할 수 있다. 그 때의 Logic equation은D`=`T bar{Q} `+` bar{T} Q 이다. 따라서 D Flip-flop에 아래
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 판매자 표지 자료 표지
    전자전기컴퓨터설계실험2(전전설2)4주차결과
    (Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 프로그램은 EDA소프트웨어로 게이트 어레이나 디지털 ... PostReport주 제: Lab#04 Combinational_Logic_Design_Ⅰ@ Arithmetic_Logic and Comparator지도교수 : 신 창 환 교수님 ... 해당 수의 1의 보수를 취해주고 1을 더 해주면 된다.나. Combinational Logic정해진 input이 들어오면 정해진 output이 나오는 회로
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 실험2 제07주 Lab05 Post Mux & DMux & BCD
    Mux)< 2-bit 2:1 Multiplexer를 Logic circuit에 따라 Behavioral Modeling으로 Verilog Code를 작성하여 설계하고 Input [1 ... :1 Mux)< 1-bit 4:1 Multiplexer를 Logic circuit에 따라 Behavioral Modeling으로 Verilog Code를 작성하여 설계하고 Input ... Code Convertor)< BCD to Excess-3 Code Convertor를 Logic circuit에 따라 Behavioral Modeling으로 Verilog Code
    리포트 | 11페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고서
    을 수행하고 waveform을 통해 확인한다.-Simulation을 2600ns이상 진행하여 모든 계산을 확인한다.-Carry가 있을경우 각 스테이트 계산과 carry가 없을 경우 ... --시프트연산case s(4 downto 3) iswhen "00" => o o o o null;end case;-입력과 출력a : in std_logic_vector(7 ... downto 0);b : in std_logic_vector(7 downto 0);cin,rst : in std_logic;s : in std_logic_vector(5
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 디지털로직실험 논리프로브 구성
    실험 2 논리 프로브 구성-조2015/--/-- 학번: -이름: -실험 목표:□ 7404 인버터를 사용한 간단한 논리 프로브(logic probe) 구성.□ 구성된 논리 프로브 ... 를 사용하여 회로 테스트.□ 디지털 멀티미터와 오실로스코프를 사용하여 논리 레벨 측정과 유효한 입력 논리 레벨과의 비교.사용부품7404 인버터, LED 2개, 신호용 다이오드 2개 ... (1N914 또는 동급)저항 :330 Ω 3개, 2.0kΩ 1개, 1kΩ 가변저항이론요약디지털 회로에는 이진수(비트) 1과 0을 나타내기 위한 두 개의 구별되는 전압 레벨이 있
    리포트 | 7페이지 | 1,000원 | 등록일 2015.07.20
  • EPROM을 이용한 조합형(Combinational)과 순차형(Sequential) 회로 디자인 보고서 (컴퓨터 아키텍쳐 실습 보고서)
    Sequential logic circuit을 review하고 EPROM을 programmable logic device로 활용할 수 있음을 안다.2. 내용4bit*4bit ... multiplier는 gate level digital circuit을 이용하지 않고 설계해둔 multiplier program을 EPROM에 설치하여 구현한다. 생성할 sequence ... Computer Architecture LabLab 01: Combinational and Sequential Circuit Design Using an EPROM1. 실험 목표
    리포트 | 4페이지 | 1,000원 | 등록일 2013.03.08
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 17일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감