• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(127)
  • 리포트(108)
  • 자기소개서(18)
  • 논문(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"vhdl 디지털시계" 검색결과 1-20 / 127건

  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    결과12진 카운터-------------------------------------------------p.11이론적 배경Vhdl를 이용한 코드출력 결과디지털 시계---------- ... 초인 클럭이 만들어 진다.Vhdl를 이용한 코드출력 결과60진 카운터이론적 배경디지털 시계구성에서 필요한 카운터로 60초, 또는 60분이 되었을 때 carry발생을 하도록 구성 ... 으로 설정하여 4비트 씩 할당하게 된다.Vhdl를 이용한 코드출력 결과12진 카운터이론적 배경디지털 시계에서 시간을 표시하기 위한 카운터 로써, 60진 카운터와 같이 일의자리, 십
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • VHDL실습 디지털 시계
    VHDL 및 실습디지털 시계 설계 및 시뮬레이션1.서론‘디지털시계‘ 라는 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 기능별로 블록화, 부품화 하 ... 여 이를 Top-Down구조로 연결하여 설계해 보았다.우선, 디지털시계를 설계하기 위해서는 다음의 회로들을 설계해야 한다.① 2x1 MUX② 1초 생성기 ? 시계에서 1초씩 흘러가 ... 에서도 디지털시계를 설계할 때와 같은 방식으로 component문을 사용하여 구조화 하여야 한다. 2x1 mux에는 and gate2개와 or gate1개, not게이트가 필요하므로 계층
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • VHDL 디지털 시계 digital watch
    1Digital Watch1. 실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다.2 ... 는 디지털 타이머에서 시간을 나타낼 때 사용한다.12진 카운터도 60진 카운터와 비슷하다.FND decoder로 표현해야 되기 때문에역시 4bit를 사용IF문 해석:시계는 기본 ... .(3) MUX디지털 시계에서 MUX의 용도는 RUN과 SET를 구분하기 위해서다. RUN은 SW를 밑으로 내릴 때를 나타내고 초 카운터가 59초에서 0초가 될 때 자리올림 클락
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • 판매자 표지 자료 표지
    VHDL 디지털 시계
    1)디지털시계●목적· MODE SWITCH의 동작에 대하여 공부한다.· Debounce 회로의 동작에 대하여 공부한다.· 시계 조정 회로의 동작에 대하여 공부 ... 한다.- watch 모드와 stopwatch 모드의 동작 설명 -●설명기본적인 디지털 시계를 설계하고 이를 이용한 시간 조정이 가능한 control circuits 블록을 설계 ... 하도록 한다. EDA_Lab3000 실습 키트를 가지고 디지털시계의 동작을 확인하도록 한다. 이 디지털 시계는 stopwatch의 입력 신호인 1/100 sec 신호를 1sec 신호
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • 졸업논문_VHDL을 이용한 디지털 시계구현
    學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)2007年 11月 20日崇實大學校 IT大學情報通信電子工學部 ... 金 應 日學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)2007年 11月 20日崇實大學校 IT大學情報通信電子工學部 ... 金 應 日學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)指導敎授 : 宋 仁 彩이 論文을 學士學位 論文으로제출
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증 ... 다. ■ 디지털 시계 구성 ● 4MHz의 오실레이터 clock을 분주하여 시계제작 ● 시간을 나타내는 세그먼트 2개(DIGIT 1, 2) ● 분을 나타내는 세그먼트 2개(DIGIT 3, 4 ... your circuit does 디지털 시계 ● 시, 분 및 초를 표시하는 디지털 시계 ■ 초 ● 입력 클럭을 분주하여 1초 단위로 상승 ● 59초에서 60초로 넘어 갈 때 1분
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 판매자 표지 자료 표지
    VHDL을 이용한 디지털시계
    레포트 표지1. 제목디지털 시계 구현2. 목적지금까지 배운 것을 기반으로 하여 기능이 다양한 시계를 구현해 본다.3. 기능ⅰ) 정확한 시간 표시ⅱ) 알람기능ⅲ) 타이머 기능ⅳ ... ) 스톱워치 기능ⅴ) 시간 및 타이머 수정과 설정 기능4. 설계 절차① 디지털 시계의 설계 사양 결정㉠ 디지털 시계의 설계 과정 블럭도㉡ 디지털 시계의 기능 설명입력: CLK : 외부 ... 을 LED로 보여줄 것이다. 알람이 울릴때, LED에 불이 들어온다.② 디지털 시계의 블럭 다이어그램전체 블럭도③ 블럭별로 본 기능각 블럭의 이름기 능DIV_CK입력 100Hz를 만들
    리포트 | 25페이지 | 7,000원 | 등록일 2013.07.04 | 수정일 2017.04.14
  • VHDL 디지털시계 발표 자료
    사 진제작 후기위의 제작 과정을 통해 디지털시계를 완성했다 처음 디지털 시계를 기말고사를 대신하여 평가한다는 교수님의 말씀을 듣고 VHDL에 대한 얕은 지식만을 가지고 있던 저희 ... 는 망망대해를 걸어가는 기분이었습니다. 하지만 1주가 지나고 2~4주가 지나면서 점점 VHDL를 이용하면서 시계를 제작해가는 저희의 모습을 발견하게 되었고.. 시험이 끝난 이후 *^^how} ... ; end process; end sample;3주차 수업시간 -당일과제 : 단순 시계만 완성 성취도 -60 Count를 Process 구문으로 작성이후 시 분 초 [24
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • VHDL 디지털 시계(소스,시뮬레이션,설명)
    d gital clock 2vIndex Clk_div Dclk_2v Bcd_seg dclk waveformclok div Generic 을 이용하여 n 을 99 로 정의한다 입력 : clkin 출력 : clkout Clkin 에 의해서 clkout 값이 영향 받음 Cl..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.12.18
  • VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다.사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • VHDL을 이용한 디지털시계설계
    (0V)를 연결하면 불이 꺼진다.3. VHDL을 이용한 디지털시계(Digital Watch)① 시간표시 기능(시, 분, 초), 시간수정기능, 스톱워치 기능이 되면 디지털 시계설계 ... 설계2-4. 오전/오후 표시 회로 설계2-5. 시간 설정 회로2-6. 7-Segment2-7. 애노드 공통형 & 캐소드 공통형3. VHDL을 이용한 디지털시계4. VHDL ... Code5. 실험 결과 및 분석6. 실험방법(트레이닝 키트)7. 결론 및 느낀점1. 전체적인 디지털시계설계 소개- VHDL 구문을 이용하여 디지털시계를 설계하는 것이며 7-Segment
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • vhdl_응용논리_디지털시계
    1. 설계 목표 VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. 또한 시간을 분 ... -Segment, LED, 스위치• Quaruts II Web Edition (2) 설계 Specification• 일반 시계 기능, 시간 아래 또는 위로 조정 기능(시, 분 조정
    리포트 | 18페이지 | 1,500원 | 등록일 2011.10.24
  • VHDL를 이용한 디지털 시계
    단위DIGIT510자리의 초 단위DIGIT61자리의 초 단위- 본 실험의 목적은 4MHz의 오실레이터 clock을 분주하여 디지털 시계를 제작하는 것으로 시간을 나타내는 세그먼트 ... 과 목 : 논리회로설계실험과 제 명 : 디지털 시계담당교수 : 김 종 태학 과 : 전자전기공학학 년 : 3 학 년학 번 :이 름 :제 출 일 : 08. 05. 21 ... 번 실험은 디지털 시계를 구성하는 것으로 4MHz의 오실레이터 clock을 분주하여 시계를 제작해야 된다. 이 때 시간을 나타내는 segment 2개, 분을 나타내는 segment
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • vhdl 디지털 탁상 시계 설계
    2010학년도과목교수이름학번설계작업서성결대학교 정보통신공학부모둠설계제안서(계획서)-목표순서항목평가설계의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 : 현재 ... 의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 : 현재 시각 표시(7-segment)Stopwatch 기능알람 기능(전용 전등 점등)발표자료자료조사● 시장조사 ... : 전등 점등 기능을 갖춘 디지털 탁상시계는 없으므로 아이디어 상품으로 판단.● 문헌조사 : VHDL 프로그래밍 기초문법(김용규 저), 본인 구현자료 - 엘리베이터 구현, 8051
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • VHDL Digital Alarm Clock 디지털 알람 시계
    ( bcd => cnt_1s,seven => SEG_1s);end STRUCT;디지털 알람시계의 TOP 이다. 다른 VHD들과의 연결을 담당하고 있으며 바로 위에 삽입된 그림
    리포트 | 17페이지 | 5,000원 | 등록일 2011.06.14
  • VHDL 을 이용한 디지털 시계 설계
    디지털 시계를 설계하였습니다.설계한 디지털시계시계기능, Stop Watch기능, 달력기능, 그리고 알람 기능을 가지고 있으며, 각각의 기능 등을 선택하는 MODE와 각 기능에 있 ... 기능은 시계기능에서 시간이 흐르고 있는 시계와 알람기능에서 맞춰진 시계의 시간이 일치하게 되면 LED에 불이 들어와 알람시간이 되었다는 것을 알 수 있도록 설계하였습니다.2. 디지털 ... _MODESETRST- 이번에 설계한 디지털시계의 경우 5개의 입력과 9개의 출력 신호를 가지고 있습니다. RST값이 1이 되었을 경우에 한하여 디지털 시계는 동작하게 되고, CLK
    리포트 | 34페이지 | 3,000원 | 등록일 2010.06.03
  • VHDL의 활용(_디지털시계의 설계)
    디지털시스템의 설계를 위한 VHDL의 기본과 활용VHDL의 활용[ 디지털시계(digital watch)의 설계]▣ 제1절 목표,구성 및 동작? 설계의 목표? 시간(time)표시 ... 기능, 시간수정, 스톱워치(stop watch) 기능의 디지털 시계 설계? 모드선택과 시간수정은 버튼이용, 시간표시는 7_segment이용? 구성 요소? 클럭(clock) : 1 ... kHz의 주파수? 버튼 : 모드 선택버튼(1개), 설정 버튼(2개)? 7_segment : 시간표시, 스톱워치 시간 표시(8개)? LED : 모드의 표시(4개)? 디지털시계의 동작
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.13 | 수정일 2017.12.22
  • VHDL실습 디지털시계, 1초 생성기, 60초 카운터 등
    차 례1초 생성기60진 카운터(초, 분)12진 카운터(시간)FND Decoder & 2x1 MuxTOP Design_VhdlTOP Design_Schematic●디지털 시계 ... 입력되면 A가, 1이 입력되면 B가 출력되는 전형적인 2x1 Mux를 설계하였다. RTL VIEWER 결과Top Design-Vhdl-D Component를 이용한 시계설계→ 디자인 ... 이 아닌 0으로 넘어가고 동시에 캐리가 1 올라가게 된다) 1을 넘겨줄 캐리 값을 설정해준다.digit_one, digit_ten를 각각 4bit로 출력한이유는 FND 디코더로 숫자
    리포트 | 16페이지 | 3,500원 | 등록일 2015.05.30
  • 디지털 전자 시계 VHDL (Flowrian 을 이용한 논리 설계 실험 )
    구현 - 알람 , 스탑워치 , 시간변경 , 시간변경(다른나라 )직접 책보면서 구현 , 작동합니다.
    리포트 | 4,000원 | 등록일 2013.12.14 | 수정일 2013.12.16
  • [디지털시계]VHDL을 이용한 디지털 시계
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use ... IEEE.STD_LOGIC_UNSIGNED.ALL;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic ... ;push1 : in std_logic;push2 : in std_logic;push3 : in std_logic;digit : out std_logic_vector(1 to 6);s
    리포트 | 13페이지 | 2,500원 | 등록일 2008.06.14
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 05일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감