• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(30)
  • 리포트(26)
  • 자기소개서(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"vhdl자판기설계" 검색결과 1-20 / 30건

  • [VHDL][논리회로] 자판설계(3가지,반환)
    [VHDL][논리회로] 자판설계(3가지,반환)A+받은 설계 입니다실습 최종 과제 였고 최선을 다해서 만들고교수님한테도 칭찬 받은 설계입니다.코인 반환과 잘못된 코인 입력시 반환기능도 있습니다
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • VHDL을 통한 자판설계
    자판기 [VHDL 언어 구현]library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity
    리포트 | 8페이지 | 2,500원 | 등록일 2009.12.16
  • 자판설계(상태도,상태표,카노맵,회로도,VHDL설계)
    //모듈 machine 내부적인 연산설계 구조를 선언signal A1,A2,A3,A4,A5,A6,A7,A8,A9,A10,A11,A12,A13,A14,A15,A16,A17
    리포트 | 22페이지 | 10,000원 | 등록일 2011.12.13 | 수정일 2019.03.08
  • VHDL을 이용한 자동판매기 자판설계 (C언어 사용)
    Vending machine동작설명Coffee, Latte, Cocoa, Mocha를 판매하는 자판설계 50원, 100원 짜리 코인 입력 - C 와 D 키로 입력 4가지 제품
    리포트 | 14페이지 | 5,000원 | 등록일 2010.05.17
  • [VHDL] VHDL 자판설계
    본문은 없고 자판설계한 소스 입니다.기능은 10원 50원 100원 500원 입력 그리고 150원 200원 차 판매 반환버튼 으로 인한 각 동전별 순차적 반환 반환시 동전 ... 이 반환 되는 것을 세그먼트와 led로 표현차 판매시 해당 차에 led표시 가 주 기능이며구조상 최대 4단계로 설계 되어 있습니다.클럭은 1MHz를 주며 직접 제작하여 완전 동작하여 A ... 으로 설계하면 됩니다. 그리고 저희 조가 사용한 칩은 에이센스사 EF1k30QC208-3 을 사용하였 습니다.
    리포트 | 50페이지 | 10,000원 | 등록일 2003.06.28
  • Max+plue[VHDL]을 이용한 음료수 자판설계
    1. 음료수 자판설계설계 개요커피와 오렌지 쥬스를 판매하는 음료수 자동판매기를 설계하고자 합니다. 음료수 자동판매기는 50원짜리 주화나 100원짜리 주화를 사용하며, 커피 ... 의 가격은 100원, 오렌지 쥬스의 가격은 150원으로 설정되어 있습니다. 자판기에서 원하는 음료수를 얻기 위해서 동전투입기에 동전을 넣게되면 LED 표시장치에 불이 오게 됩니다 ... 으로 100원의 주화가 나옴이 설계에서 모든 출력은 LED에 편의상 출력되도록 하고, coin과 같은 입력(button_coffee, button_orange, coin_50, coin_100)은 keypad스위치 1, 2, 4, 5에 할당합니다.
    리포트 | 9페이지 | 2,000원 | 등록일 2007.06.27
  • 판매자 표지 자료 표지
    [지방대/최종합격] 실리콘웍스 아날로그회로설계 자기소개서
    VHDL을 이용해 자판기를 설계했습니다. VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의를 통해 독학했으며, SoC 설계 및 프로그래밍 수업을 수강하며 C언어 ... 를 향한 꿈, 함께하고 싶습니다.시스템 반도체 설계자로서 대한민국의 반도체 산업의 최전선을 이끌어가고 싶어 실리콘웍스에 지원하였습니다. 회로 개발자로서 기술력을 통해 인류의 변화 ... 비중은 나날이 증가할 것입니다. 하지만 우리나라는 메모리 산업과 달리 시스템 반도체 설계 부문에서는 여전히 어려움을 느끼고 있습니다. 이렇게 어려운 상황 속에서도 실리콘웍스
    자기소개서 | 3페이지 | 4,500원 | 등록일 2023.05.05
  • SoC 보고서 - 1.동기통신(PS2)
    했다. Keyboard를 온전히 구현하지는 못 했지만 병렬로 입력 받은 데이터를 직렬로 전송한 후 다시 병렬로 재배치 하는 원리와 설계는 완료했다.수신기의 valid신호가 너무 길 ... 를 해봤다. 다양한 방식으로 설계를 해봤는데 안 되는 것이 많았다. 그 원인을 알아보는 과정에서 VHDL 문법적인 부분과 시뮬레이션 과정에 대해 더 많은 공부를 할 수 있 ... 할 수 있다.동기 통신은 통신의 송수신기에 해당하는 두 대상의 동기를 맞춰서 통신하는 것을 뜻한다. 보통 동기는 클록 신호로 맞춘다. 데이터 외에 동기 신호도 송수신 해야 하
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    학습한 state machine의 개념을 가지고 자판기 동작을 하는 회로를 설계했다. 입력은 스위치 2개로 입력받으며 상태에 따라 0원, 50원, 100원으로 인식하도록 했고 네 ... 번째 고려하지 않았다. 350원이 되면 자판기에서 음료수가 나오고 금액을 그만큼 감소하도록 했다. 상태도는 다음과 같다.2.소스코드 설명1~3)자료형, BCD decoding ... 코드 설명Counter1~2)라이브러리 선언4~8)플립플롭에 입력될 clock과 reset을 설정, 플립플롭의 출력 Y 설정12~14)설계한 JKFF 컴포넌트 선언16)JKFF
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 판매자 표지 자료 표지
    [지방대/최종합격] 삼성전자 메모리사업부 회로설계 자기소개서
    하여 블로그에 포스팅했습니다. 또한, 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했으며, 이 ... 하는 것이 엔지니어의 사명이라고 생각합니다. 늘 삼성전자 엔지니어를 꿈꾸며 살아왔습니다. 세계 No.1 스펙의 메모리를 설계하며 최고의 회로 설계자로 성장하고 싶었기 때문입니다 ... 회로설계 엔지니어]삼성의 일원으로서 사명감을 품고, 인류에 공헌하는 `실력파 회로설계 엔지니어`로 성장하겠습니다, 전자공학을 잘 이해하기 위해서 `성적을 위한 공부`가 아닌 `배움
    자기소개서 | 3페이지 | 4,500원 | 등록일 2023.05.05
  • 판매자 표지 자료 표지
    [지방대/최종합격] SK하이닉스 회로개발 자기소개서
    , 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했습니다. 이후 SoC 설계 및 프로그래밍 ... 었습니다. 또한 고노출 영상의 포화 영역은 합성에 반영하지 않아도 된다는 점에 착안하여, 영상 밝기 특징에 따라 고노출 영상에 국부적 감마 보상을 적용하여 영상의 밝기 품질을 개선 ... 성을 증명할 수 있는 근거가 잘 드러나도록 기술)(700~1000 자 10 단락 이내)학부 시절 `회로설계 장인`을 꿈꾸며 다방면에서 열심히 달려왔습니다. 첫째, 졸업 프로젝트
    자기소개서 | 4페이지 | 4,500원 | 등록일 2023.05.05
  • 판매자 표지 자료 표지
    [지방대.합격] 삼성전자인턴 메모리사업부 회로설계 최종합격 자기소개서
    의 회로를 설계했고, 관련 내용을 정리하여 블로그에 포스팅했습니다. 또한, 논리회로설계 수업에서 FSM과 VHDL을 이용해 자판기를 설계했습니다. VHDL뿐만 아니라 Verilog ... 라고 생각합니다. 늘 삼성전자 엔지니어를 꿈꾸며 살아왔습니다. 세계 No.1 스펙의 메모리를 설계하며 최고의 회로 개발자로 성장하고 싶었기 때문입니다. 4차 산업혁명의 도래로 메모리 ... 사업부의 역할이 더욱 막중해지고 있습니다. 삼성과 함께 기술 초격차로 더 살기 좋은 세상을 앞당기는데 동참하고 싶습니다.[실력파 엔지니어가 되겠습니다.]인류에 공헌하는 `회로설계
    자기소개서 | 3페이지 | 5,000원 | 등록일 2023.05.05
  • VHDL VLSI SOC 설계vending machine
    1. vendinglibrary ieee;use ieee.std_logic_1164. all;use ieee.std_logic_unsigned. all;use ieee.std_logic_arith.all;entity vending is port( clk : in..
    리포트 | 3페이지 | 1,000원 | 등록일 2018.11.18
  • 논리회로설계실습-FSM-예비보고서
    의 작동에서 나타나는 오류인 glitch와 chattering, bouncing에 대하여 알아본다. 이 후 무어 머신을 사용하여 커피 자판기 작동을 VHDL로 코드를 작성해 본 후 ... 과 같다. 디바운싱 코드실험 내용실험 1. 무어머신을 이용하여 주어진 상태 다이아그램을 사용하여 자판기를 설계해 본다.소스코드테스트 벤치 코드Wave form출처위키백과‘플립플롭 ... 논리회로설계 실험 예비보고서 #9실험 9. 순차회로 설계 - FSM실험 목표FSM의 두 종류인 밀리 머신과 무어 머신에 대하여 이해하고 VHDL에서의 사용법을 이해한다. 하드웨어
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 임베디드 시스템 자판기 결과보고서
    Embeded SystemVending MachineResult reportContents1. 기존 자판기 분석 및 종류2. VHDL 자판기 첫 번째 방법 목표 및 설계(자판기 ... 설계 및 구현 연습)3. VHDL 자판기 두 번째 방법 목표 및 설계(자판설계 및 구현 연습)4. VHDL 자판기 세 번째 방법 목표 및 설계(자판기 구현 최종 완성)1. 기존 ... 가능 상품 표시)구매가능 상태가 되면 해당 LED 점등두 번째 방법에 대한 VHDL 자판설계상태 배열 구상(세로 100원단위 증가, 가로 1000원단위 증가)LED :제품
    리포트 | 18페이지 | 3,000원 | 등록일 2016.04.13
  • 결과보고서 #10 - 순차회로 설계 (FSM)
    (FSM)1. 실험 목표순차회로의 일종인 FSM의 일종인 밀리머신과 무어머신의 개념을 이해하고 이를 이용해 실생활에서 쓰이는 자판기를 VHDL 코드를 이용하여 설계해볼 수 있다.2 ... . 실험 결과- 실험 1. 아래 상태도와 같은 자판기 알고리즘을 VHDL을 이용해 설계한다.(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL ... 과 목 : 논리회로설계실험과 제 명 : #10 순차회로 설계 (결과)담당교수 : 국태용 교수님담당조교 : 김태경 이희준 조교님학 과 : 전자전기공학과학 년 : 3반 & 조 : A
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 순차회로 설계 - FSM 결과보고서
    현상을 고려하여 실생활에 활용 가능한 커피 자판기를 설계한다.2. 실험 결과- 실험 1. 무어 머신을 이용한 커피 자판설계(1) 개요- 스위치1 : 100원, 스위치2 : 50 ... _KSH_sw) 3개를 Moore machine에 input값(sw(2:0))으로 입력하여 RoV Lab3000의 LED로 상태에 따른 결과값을 출력하도록 하는 커피자판기 ... 입력이 “000”인 상태일 때를 커피자판기에서 커피를 뽑을 때의 상태로 설정하여, S4와 S5로 가자마자 각각 S1, S3으로 변하였기 때문인 것 같다.3. 고찰- 출력결과
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • VHDL을 이용한 엘리베이터(승강기) 설계 프로젝트
    시간에 배운 내용을 가지고 무엇을 만들까 회의를 하던도중 나온것들이 자판기나 신호등 같은 것들 이였습니다. 하지만 자판기나 신호등은 1, 2 학년때 많이 설계를 해봐서 논리를 가지 ... 고 다른 제품을 만들어보고 싶었습니다. 그러던 도중에 문득 승강기가 생각이 나서 설계를 계획하게 됐습니다. 기능으로써는 일반적인 승강기와 같습니다. 추가된 내용으로는 비상버튼인데 ... [VLSI 설계 Team Projet Final Report]과 제 명엘리베이터 설계담당교수제출일2011-12-17팀 원학 번이 름수행과제개요과제 목적및해결하고자 하는 내용수업
    리포트 | 19페이지 | 5,000원 | 등록일 2011.12.17
  • [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기)
    [VHDL][논리회로] Mealy, Moore Type의 FSM(신문자판기)A+받은 설계 입니다
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2018.05.17
  • 09 논리회로설계실험 예비보고서(fsm)
    P.sInputOutput00011011YS1S1S3S2-0S2S2S4S5-0S3S3S2S4-0S4S1---1S5S3---1(6) VHDL 코딩자판설계library IEEE ... 의 정상적 동작을 방해할 수 있는 glitch와 chattering에 대해 알아보고 그 방지법에 대해 생각해본다.무어머신의 개념을 이용하여 커피자판기를 설계해본다.2. 예비 이론(1 ... 한다. 만약 키가 눌린다면 키를 처리하고, 키가 떨어질 때 까지 계속 기다린다.3. 실험 내용- 실험 1. 무어 머신을 이용한 커피 자판설계(1) 자판기 상태 다이아그램(2) 상태표
    리포트 | 6페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 06일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감