• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(11,177)
  • 리포트(9,755)
  • 시험자료(582)
  • 자기소개서(553)
  • 방송통신대(204)
  • 논문(60)
  • 서식(19)
  • 이력서(2)
  • ppt테마(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"신호등제어기" 검색결과 1-20 / 11,177건

  • 3구신호제어용 교통신호제어기 개발 (Development of a Traffic Signal Controller for the Tri-light Traffic Signal)
    한국ITS학회 한원섭, 고광용, 허낙원, 이철기, 하동익, 이병철
    논문 | 10페이지 | 무료 | 등록일 2025.04.16 | 수정일 2025.05.10
  • 진입로 신호등 시스템을 위한 SDRE 기반 최적 비선형 관측기 제어기 설계 (SDRE Based Optimal Nonlinear Observer-Controller Design for Ramp Metering System)
    제어·로봇·시스템학회 최한호, 이기호
    논문 | 4페이지 | 무료 | 등록일 2025.04.16 | 수정일 2025.05.10
  • A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호제어기 보고서(코드, 설명 포함)
    하였습니다.--7 segment display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호와 BCD와 값
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 신호등제어기 Verilog
    신호제어기】문제 - 신호제어기교차로에서 신호등이 4개가 있음.(좌회전은 고려하지 않음)신호등은 녹, 주, 빨이 있는데 녹색은 10초, 주황색은 2초, 빨간색은 12초동안 ... 유지하도록 신호등을 제어하시오.① State Diagram북쪽과 남쪽의 신호등이 함께 움직이고 동쪽과 서쪽의 신호등이 함께 움직인다.따라서, 4개 신호등은 2개의 신호등으로 제어 ... 기를 만들면 된다. 즉,제어기 2개 쓰면 4개까지 control가능하다.S0 상태 -> 북쪽 녹 / 동쪽 빨S1 상태 -> 북쪽 주 / 동쪽 빨S2 상태 -> 북쪽 빨 / 동쪽 초
    리포트 | 5페이지 | 2,000원 | 등록일 2009.04.21
  • FPGA 디지털 시스템 설계 : 신호제어기 설계
    신호제어기 설계1. Moore state machine을 이용한 traffic light controller 설계도시를 연결하는 큰 길과 교차하는 농로에 신호등을 설치할 때 ... , 가능한 한 큰 길의 신호가 끊이지 않도록, 농로에 차가 있을 경우에만 신호를 바꾸는 제어기를 설계하고자 한다(좌회전, 우회전 등의 신호는 고려하지 않음). 신호등은 적색 신호 ... 한다.3. 고찰본 과제에서 신호제어기를 Moore state machine으로 구현하였는데, Moore state machine은 출력이 state에 따라 나타나기 때문에 다음 s
    리포트 | 2페이지 | 1,000원 | 등록일 2012.06.18
  • 판매자 표지 자료 표지
    4차선 신호제어기 설계를 위한 VHDL 코드 및 결과보고서
    INDEX1. 실습 제목2. 실습 목적3. 실습 내용(1) 4차선 신호제어기 설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3 ... ) vhdl 코드에 대한 시뮬레이션4. 실습 결과물5. 고찰1. 실습 제목 : 4차선 신호제어기 설계2. 실습 목적 :앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부 ... 함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호제어기를 설계함으로써 그 내용들을 더 깊게 이해하도록 한다.3. 실습 내용 :(1) 4차선 신호제어기 설계
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • [디지털시스템] 신호제어
    일상생활에주로사용되고쉽게접할수있는사거리신호등제어기를제작. TTL-IC를이용하여직접회로설계하고PCB위에구현하여동작. 지금까지배워온지식을활용하여작품을만들어보고실제적용시문제점과개선해야될점을생각.
    리포트 | 20페이지 | 5,000원 | 등록일 2008.12.27
  • 자일링스(Xilinx)를 이용한 신호제어기 설계
    tate table과 회로도, 시뮬레이션까지 성공하였다. 이제 완성한 프로젝트에 대한 설명을 하겠다.2. 내용2.1 목표설정사거리 신호제어(4거리에 신호등이 있고, 그에 따른 횡단 ... 보도가 각각 있으며, 센서로 교통량을 감지)우선 위에서 보이는 것처럼 신호등은 빨강, 노랑, 좌회전, 초록의 4개의 신호를 가진다.1) 사거리 제어와 횡단보도우선 왼쪽의 그림을 보 ... 다.2) 센서를 통한 교통시스템 제어교통신호등을 보면 빨간색,초록색,노란색, 좌회전 등이 반복되는 것을 볼 수 있다. 하지만 야간과 같은 경우에, 교통 통제량이 적을 경우에는 신호
    리포트 | 8페이지 | 2,000원 | 등록일 2010.06.09
  • FPGA를 이용한 디지털 시스템 설계(인하대) Traffic Light Controller 신호제어기 보고서
    )을 이용하여 Traffic Light Controller를 설계한 후 시뮬레이션 하는 것이었다.2. 실험이론이번 실험에서는 신호등을 제어하는 제어기를 설계할 것이다. 이를 설계하기 ... 엣지를 이용한다.)에 그 자신의 상태를 변화시킬 수 있다.이번에 설계하는 신호제어기는 고속도로(Highway)와 국도(Farmway)의 교차로를 모델로 하여 국도 양 끝에 존재 ... (State)로 지정하여 유한상태머신을 설계할 수 있다.3. 실험과정 및 소스코드.위에서 설명한 것과 같이 이번 실험에서 유한상태머신을 이용하여 신호제어기를 설계할 것이다. 이 때
    리포트 | 9페이지 | 2,000원 | 등록일 2014.11.26 | 수정일 2015.09.30
  • 신호제어기 설계제안서 PPT
    신호제어기 설계 제안서 15 - 1프로젝트 목표 - 실험 시간에 배운 내용을 토대로 신호등의 원리를 이해하고 기본적인 회로를 설계할 수 있다 . 그리고 실제적인 회로 구현 ... 에서 흔히 볼 수 있는 사거리 신호등을 직접 구현한다 . 수업에서 배운 내용을 이해만 하고 넘어가기 보단 학생들 스스로 직접 적용 / 구현 함으로써 회로에 대한 개념을 확실하게 정립할 수 ... 있다 . 15 - 3어떤 회로를 선택해서 응용하여 구현할 것인가 ? 15 - 4일반적으로 전자 신호등은 BLUE- YELLOW- ARROW- YELLOW- RED 순으로 점등
    리포트 | 15페이지 | 3,000원 | 등록일 2008.12.29 | 수정일 2015.12.14
  • [디지털 논리]사거리 신호제어
    는지, 신호등을 제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 프로젝트를 수행하였다. 신호등에서 사용되는 플립플롭을 이용한 카운터와 타이머 디코더 등에 대하여 더 자세하게 알 수 ... ●프로젝트의 목적거리에 신호등이 없다면 엄청난 도로의 혼잡과 많은 사고들이 일어날 것이다. 여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오 ... ▷거리 신호등북쪽,남쪽 신호등: 빨간불 ? 자동차:정지서쪽,동쪽 신호등: 파란불 ? 자동차:직진♣패턴2▷횡단보도북쪽,남쪽 횡단보도: 파란불? 보행자: 빨리 뛰어야한다서쪽,동쪽 횡단보도
    리포트 | 22페이지 | 3,000원 | 등록일 2006.06.26 | 수정일 2016.11.21
  • Max+plue[VHDL]를 이용한 네거리 신호제어기 설계
    1. 네거리 신호제어기 설계⑴ 설계 개요네거리 신호등은 남북방향의 3개의 불빛(빨간불, 노란불, 파란불)과 동서방향의 3개의 불빛(빨간불, 노란불, 파란불) 총 6개의 불빛 ... 이 있습니다. 여기서 좌회전 신호는 없다고 가정합니다. 신호등이 커져 있는 시간은 빨간불과 파란불 상태에서 각각 3 clock 동안 유지하고, 노란불 상태에서는 1 clock을 유지 ... 한다고 가정했습니다.신호등의 6개의 불빛을 light : buffer std_logic_vector(5 downto 0)로 나타내었습니다. 그리고 출력 light의 mode는 내부
    리포트 | 3페이지 | 2,000원 | 등록일 2007.06.27
  • 논리회로 신호제어기(교차로-2센서) 설계
    신호제어기(교차로-2센서) 설계현재상태입력다음상태출력ABCDWTETA+B+C+D+EWREWYEWGNSRNSYNSG0000XX00011000010001XX
    리포트 | 27페이지 | 2,000원 | 등록일 2004.06.09
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • A+ 받은 모의주식투자보고서 PPT
    와이어 집에 있는 WIFI 단말기 등 에 주로 사용 주력 매출 상품 광트랜시버 광신호를 전기신호로 변화시키는 장비 XGSPON 5G, 6G 연결에 사용되는 제품 기지국 연결 시 핵심 ... 3700 억원 (5.26) 3. 업종 : 산업용 로봇 제조업 4. 제품 / 사업 : 협동로봇 , 협동로 봇 자동화 플랫폼 , 로봇제어기 제조 5. 특징 : 로봇관련주기업 주요 제품 소개 ... 부품- 현재주요매출 일반통신장비 ( 광트랜시버 , 기가와이어 , DVT.SOC) - 미래기대매출 5G 관련통신장비 (XGSPON) * 회사에서 XGSPON 제품 위주로 사업확대
    ppt테마 | 23페이지 | 2,000원 | 등록일 2024.03.16
  • 판매자 표지 자료 표지
    자동제어 A+ 보고서(로봇청소기)
    제어량이라 한다. 넷째, 스위치의 개폐작용, 전압 조정기의 개폐 작용 등을 사람이 원하는 상태로 조정하는 것을 제어 명령이라 하며, 입력 제어 신호에는 디지털 신호와 아날로그 ... 고 낮음, 즉 제어량의 크기 및 양에 대하여 전압 조 정기로 조정하는 정량적 제어가 일어난다.마. 제어 신호제어 명령은 실제로는 물리량의 상태로 나타나며, 제어 신호는 물리량의 종류 ... 보다는 크기의 정도 및 변화하는 상태가 중요하며, 제어 신호에는 디지털 신호와아날로그 신호가 있다.1) 디지털 신호 (digital signal)스위치 개폐의 상태를 나타내는 정성
    리포트 | 10페이지 | 2,000원 | 등록일 2024.12.19
  • 판매자 표지 자료 표지
    세종대학교 컴퓨터구조 기말고사 요약집입니다.
    수행 -> 주기억장치의 명령어 읽어서 CPU의 명 령 레지스터(IR)로 가져오고 -> IR의 opcode(연산부호)를 해독하여 제 어신호 발생시킴 2. 제어장치의 기능 : CPU ... 에 접속된 장치들에 대한 데이터 이 동 순서 조정 : 명령어 해독 : CPU 내 데이터 흐름 제어 : 외부명령을 받아 일련의 제어 신호 생성 : 실행장치(ex) ALU, 데이터 ... 버퍼, 레지스 터)등을 제어 : 명령어 인출, 명령어 해독, 명령어 실행 등을 순서에 맞추어 처리1. 하드와이어 제어장치 : 논리회로로 만들어진 하드웨어로 명령어
    시험자료 | 20페이지 | 3,000원 | 등록일 2024.09.14 | 수정일 2024.09.16
  • 판매자 표지 자료 표지
    현대로템 레일솔루션(신호통신연구실) [열차제어시스템 설계] 자기소개서와 면접자료
    제어시스템 분야에서 독보적인 경쟁력을 갖추고 있어 진심으로 입사하고 싶은 회사였습니다. 최근 스마트 열차, 무인운전 시스템, 신호통신 네트워크 고도화 등 현대로템이 추진하는 기술혁신 ... , 인턴십을 통해 철도 신호장치 유지보수 업무에 직접 참여한 경험이 있습니다. 신호기, 점자기, 연동장치 등 다양한 설비의 작동 원리를 현장에서 배우며, 실제 장애 사례와 데이터 ... 현대로템 레일솔루션(신호통신연구실) [열차제어시스템 설계] 자기소개서와 면접자료 - 목 차 - 1. 현대로템에 지원한 이유와 입사 후 본인이 보유한 경험을 어떻게 활용할 계획인지
    자기소개서 | 6페이지 | 3,000원 | 등록일 2025.09.07
  • 판매자 표지 자료 표지
    한화에어로스페이스 R&D 전기전자(PGM사업부) 자기소개서와 면접자료
    개발 업무는 기계, 전력, 신호, 제어 등 여러 분야가 유기적으로 연결되어 있어 팀 단위의 협업이 필수적입니다. 저는 팀 내에서 문제를 단순히 제 파트의 관점으로만 바라보지 않 ... 시스템은 고성능 제어가 요구되는 항공기 핵심 부품에 직결됩니다. 작은 신호의 오차나 전력 손실이 전체 추진 시스템의 안정성에 영향을 미칠 수 있습니다. 저는 문제를 분석할 때 항상 ... 웨어를 다루며 신호가 어떻게 흐르고 제어되는지 눈으로 확인할 수 있을 때 가장 큰 성취감을 느꼈습니다. 3학년 때 수행한 ‘전력 제어 기반 드론 안정화 시스템’ 프로젝트는 저
    Non-Ai HUMAN
    | 자기소개서 | 7페이지 | 3,000원 | 등록일 2025.10.09
  • 한국지역난방공사 전자직 자소서
    분석 역량을 기반으로 작성되었습니다. ▣ 센서·신호 기반 설비 해석, 계장 제어 구조 이해, 데이터 기반 이상 진단 및 예지보전 설계 등 전자직 핵심 직무 역량을 구체적 경험 ... 성은 ‘신호를 해석하고 흐름을 설계하는 능력’이라고 생각합니다. 이 전문성을 갖추기 위해 저는 세 가지 방향으로 역량을 길러왔습니다. 첫째, 센서-제어기-서보모터 간 통신 구조를 이해 ... 에 맞게 본 자소서는 스마트플랜트 고도화, 자동제어 설비 통합, 디지털 트윈 기반의 설비 운영 등 최신 기술 흐름과 조직 전략에 맞추어, 실제 지원자의 계측기기 통합 감각과 시스템
    자기소개서 | 5페이지 | 3,000원 | 등록일 2025.06.09
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 10일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:48 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감