• 통큰쿠폰이벤트-통합
  • 통합검색(1,756)
  • 리포트(1,537)
  • 자기소개서(200)
  • 시험자료(7)
  • 논문(5)
  • 서식(3)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로설계및실험" 검색결과 1,701-1,720 / 1,756건

  • [논리회로] 디코더(Decorder) 및 엔코더(Encorder)
    {{{{{제목: 6장 예비{{제출일:교수명:학 과:실험조:학 번:이 름:{{실험 6디코더(Decorder) 및 엔코더(Encorder)1. 디코더(Decorder), 복호기일반 ... *************00010001000000110001000010000001000101000001001100000001011100000001앞에서 실험한 전가산기를 디코더를 이용하여 설계하면S(x,y,z)= (1,2,4,7)C(x,y,z)= (3,5,6,7)이 ... 변환회로설계하되 NAND Gate를 이용하시오.3. 4bit 2진수를 Gray code로 변환하는 회로설계하시오.4. 4bit Gray code를 4bit 2진수로 변환
    리포트 | 14페이지 | 1,000원 | 등록일 2002.12.05
  • [디지털회로실험] 비동기식 카운터
    카운터 등의 동작원리를 이해 하고 측정을 통하여 각각의 동작 특성을 확인한다.카운터 응용능력을 키운다.[2] 기기 및 부품디지털실험장치JK플립플롭 7476(2개)입력 NAND 게이트 ... 실험절차[1] 디지털 실험기관 위에 IC 7476 2개를 이용하여 그림 2의 비동기식 4비트 증가 형 카운터 회로를 구성하고{ SW}_{2 }=0→1로 하여 모든 플립플롭 ... 하여 기록한다.그림 1에 나타낸 비동기식 증가형 카운터 회로는 카운터 값이 변화되는 순서를 나타낸 아래 그림 3(a)를 잘 관찰하면 직관적으로 설계할 수 있다. 즉 맨 하위비트(LSB
    리포트 | 7페이지 | 1,000원 | 등록일 2002.06.10
  • [초등 임용고시] 초등 임용고시 과학 해설서 교과교육론
    하여 문제를 해결할 수 있음과학탐구문제발견 및 해결방안 탐색문제인식, 가설설정, 조작적 정의, 실험설계 및 변인통제, 모형사용능력자료수집사물과 자연 현상의 관찰, 정보 수집, 비교 ... -> 가설설정-> 실험설계->실험-> 가설 검증-> 적용 및 새로운 문제 발견④ 발생학습모형(구성주의적 모형)예비-수업내용에 관련된학생들이 가지고있는 개념초점- 활발한 질문과 대답 ... 분류, 변화 측정, 의사소통자료해석 및 일반화실험 자료의 정리 및 처리, 자료해석, 가설의 평가 및 수정, 추리, 예상, 일반화실험기구 조작 및 수집, 사육재배실험기구 및 재료
    리포트 | 5페이지 | 1,000원 | 등록일 2004.11.05
  • [프로그래밍, 컴퓨터, 컴퓨터언어]논리형 프로그래밍
    는 컴퓨터 및 프로그래밍 언어와 여러 가지로 깊은 관련이 있다. 첫째로 컴퓨터 회로를 부울 대수의 도움으로 설계할 수 있고 부울 수식과 데이터는 프로그램의 행동을 제어하기 위해서 ... 목 차■ 논리형 프로그래밍.21. 논리형 프로그래밍.22. WHAT과 HOW.33. 논리형 프로그래밍은 잠정적인 결론.44. 비절차적인 언어의 예.55. 사실, 규칙, 질문.66 ... . Prolog의 특징.133. Prolog 프로그램의 개념.134. Prolog의 개발환경.19■ 논리형 프로그램의 응용분야.19※ 참고 자료.20■ 논리형 프로그래밍추론과 증명의 과학
    리포트 | 20페이지 | 2,000원 | 등록일 2005.08.12
  • [논리회로]가산기와 감산기
    {{{{{제목:{{제출일:교수명:학 과:학 번:이 름:{{실험 5가산기와 감산기1. 반가산기반가산기(Half Adder)는 1비트의 2진수를 더하는 회로로서 A, B 2개의 입력 ... 출력에 대한 논리식을 유도할 수 있다. 합(sum)을 S,자리올림(Carry)을C라 하면,S=B+A=A BC=AB논리식으로부터 논리회로를 구성하면[그림 5-1]과 같다.{[그림 ... 5-1] 반가산기 논리도반가산기는[그림 5-2]와 같이 표시하기도 한다.{[그림 5-2] 반가산기 논리표시도2. 전가산기전가산기는 2자리 이상되는 2진수의 합에서 가수, 피가수 및
    리포트 | 15페이지 | 1,000원 | 등록일 2002.12.05
  • [시퀀스제어] 3. 전동기의 역상제동 제어
    3. 電動機의 逆相制動 制御1. 目的 : 3상유도 전동기의 정·역원리를 이용한 역상제동 원리와 제동회로설계 및 동작 방법을 익힌다.2. 配置圖3. 材料 및 機具품 명수량품 ... (TDD)의 접점 심볼과 논리회로 및 타임 차트를 작성하시오.①온 딜레이 타임 릴레이(TDE)회로 타임차트PBS2입력출 ... 에 따라 소요재료 및 기구의 규격과 수량을 산출하여 담당교수의 확인을 필한 후 대출받는다.2) 동작순서에 따라 회로도를 작성하고 회로의 이상유무를 점검토록 리드선으로 결 선을 한 후
    리포트 | 6페이지 | 1,000원 | 등록일 2003.03.29
  • [교수 학습] 교수 학습법
    하는 방법이다. 이 때 개인 단위로 실험·실습을 할 수도 있고 모둠을 구성하여 공동으로 실험실습을 할 수도 있다.2) 적용 방법1단계: 학습 목표 및 관련 지식 이해2단계: 기본 기능 ... 5가지의 교수-학습법(문제해결법, 프로젝트법, 실험·실습법, 직소 Ⅰ&Ⅱ(JigsawⅠ&Ⅱ)법, 모듈학습법 비교)Ⅰ.문제해결법1) 정의학생이 생활하고 있는 현실적인 장면에서 당면 ... 한 요인들은 자료 및 정보 수집을 통하여 파악할 수 있다. 효율적으로 정보를 획득하기 위해서는 심화된 질문과 토의를 통해서 소 유하고 있는 정보를 파악하는 것으로부터 시작해야한다
    리포트 | 7페이지 | 1,000원 | 등록일 2004.05.15
  • 7-segment를 이용한 디지털시계(verilog HDL)
    논리회로실험Term project 4제목: 7- Segment를 이용한 디지털 시계목표: Training Kit에서 지원하는 1MHz 수정발진기의 출력 및 7- Segment ... 를 사용한 디지털 시계 설계-설계 사양-1. Reset 시 00:00이 됨2. 1MHz 수정발진기를 사용할 것3. 초 단위 Display4. 10분당 오차가 2초 이내일 것5. 7 ... - Segment 제어기7- Segment는 디지털 회로에서 숫자를 표기하기 위하여 가장 많이 쓰이는 소자이다. 7개의 LED를 이용하여 숫자를 표시하게 되며, 각 세그먼트를 7개로 나누
    리포트 | 11페이지 | 3,500원 | 등록일 2005.03.30
  • [로봇공학] 생물학시스템을 적용한 로봇공학
    연산자- 적합도 설정소프트 컴퓨팅으로서의 진화알고리즘- 신경회로망과의 결합 : 구조 및 가중치, 데이터 최적화- 퍼지시스템과의 결합 : 멤버쉽 함수의 최적화, 퍼지규칙 집합의 학습 ... 에 의한 강화학습( ). 신경회로망 제어기에 의한 환경의 인식 및 행동 네트워크. 퍼지제어기를 이용한 경로계획. 유전알고리즘을 이용한 제어기의 진화. 유전프로그래밍에 의한 로봇의 제어 ... 분석적인 방법에 의한 시스템의 설계보다는 합성적인 방법에 의한 설계방법이 유용하다.{그림 19. 마이크로 로봇의 자율분산 제어. 통신시스템의 유효성을 위한 협조행동 시뮬레이션 및
    리포트 | 12페이지 | 1,000원 | 등록일 2003.04.25
  • [논리회로] 멀티플렉스와 디멀티플렉스
    고 입력은 논리 C로만 하여 회로를 구성하시오.{◈ 사용기기 및 부품{Power Supply 1대 IC74LS 04(NOT gate) 1개오실로스코프 1대74LS 20(4입력 ... 하여 받은 정보를 전송하는 회로이다.{{EABD0D1D2D31XX11110000111001101101011010111110(a) 논리도 (b) 진리표[그림 7-3] 인에이블(E ... 는 디지털 데이터를 정해진 순서대로 한 개의 출력단을 통해 전송하는 회로이다.3. [그림 7-5]를 보고 디멀티플렉스 선택선의 논리에 대해 입력대 출력의 진리표를 작성하시오.{데이타선택
    리포트 | 12페이지 | 1,000원 | 등록일 2002.12.05
  • [디코더] ASIC실험-디코더설계
    되는 출력 y값을 위 표와 같이 확인 할 수 있다.3×8 디코더의 논리 회로도XYZD0D1D2D3D4D5D6D73×8 디코더의 타이밍도2) 코드및 파형분석⑴ 클럭을 포함하지 않았을 경우 ... ASIC 설계실험 결과 REPORT◎ 3×8 디코더 설계 ◎학과전자.정보 학부학년3학년학번:9844464성명박 원 규수업목요일 오후5∼6시50분1)이론디코더(Decorder ... 코드분석 및 파형분석코드분석library ieee;use ieee.std_logic_1164.all;entity dec3x8_1 isport(sel: in std_logic
    리포트 | 5페이지 | 1,000원 | 등록일 2003.04.03
  • [디지털 공학] 인코더와 디코더
    서[MUX]와 디멀티플럭서[DMUX][실험목적]1.멀티플럭서와 디멀티픗럭서의 기본 원리와 동작을 이해한다2.이들 회로설계하고 응용방법을 익힌다.[기본 이론]1. 멀티플럭서는 여러 곳 ... 과 n개의 출력선을 가진다. 일반적으로 논리회로는 처음부터 2진부호의 신호를 발생하기 때문에 전용 IC화된 것은 드물다. 그러므로 필요에 따라서 논리회로를 구성해야 한다. 예를 들 ... 및 timing diagram]1.그림 6.1의 회로를 구성하여 timing diagram을 나타낸다.{2.그림6.2회로를 구성하여 timing diagram을 나타낸다.{3.그림
    리포트 | 9페이지 | 1,000원 | 등록일 2002.04.07
  • [메카트로닉스]전자산업에서의 초정밀 메카트로닉스 시스템
    에 종자동차의 양산화를 위해서 생산 시스템을 개선하고, 전용 공작기계 및 밸트 컨베이어 등을 이용하여 양산 체제를 갖춘 것도 메카트로닉스 기술에 의한 생산 부문의 자동화 전신으로 볼 ... (Factory Automation)화가 가능하게 되었다. 또, 종전의 자동화 기술을 이용하지 않고 만든 장비, 제품 및 구성품들은 다품종 소량 생산 및 제품 수명의 단축 등에 의한 ... 설계 변경이 이루어질 경우 유연성이 있는 자동화가 불가능하였으나, 최근에는 메카트로닉스 기술에 의한 자동화 기술의 발달로 인하여 잦은 설계변경에도 불구하고 유연성 있는 자동
    리포트 | 8페이지 | 2,000원 | 등록일 2004.12.10
  • Counter의 응용과 Register와 ROM을 이용한 순차회로 실험
    전기공학실험4 REPORT(결과)제목 : Counter의 응용과 Register와 ROM을 이용한 순차회로 실험담 당 교 수학 과학 번학 년성 명수강일시 및 조1. 실험제목 ... 9-1(a)와 같은 회로형태를 이용하여 조직적으로 설계할 수 있다.우선 모든 카은터단은 동기식 작동을 위하여 같은 클럭펄스신호에 의해서 직접 “클럭”(clock)펄스가 가해지 ... )에 보인 바와 같다.원하는 논리 신호를 얻기 위한 각 단의 입력에 대해서 카노맵을 적용시키면 체계적인 설계를 할 수 있다.[J-K FF에 대한 동작과정표]J-K FF을 사용한 때
    리포트 | 6페이지 | 1,000원 | 등록일 2003.04.26
  • Hexademical to ASC∥ 코드 변환기
    제18장 Hexadecimal to ASC∥ 코드 변환기(예비)○ 목적● Hexadecimal to ASC∥ 코드 변환기의 기능을 이해하고 PLD를 이용한 회로구현 및 시뮬레이션 ... 10진수를 사용한다. 또한 대부분의 논리회로는 이산적인 0과 1의 두 가지 값만 을 갖는 신호를 가지기 때문에 10진수도 2진 신호에 의해 코드화되어야 한다.○ 컴퓨터를 사용하는 많 ... 번 실험에서는 4비트로 구성되어 있는 16진수(Hexadecimal)를 ASCII 코드로 변환하는 변환기를 설계한다.ASCII 코드를 보면 10진수 0에서 9까지의 값
    리포트 | 4페이지 | 1,000원 | 등록일 2003.05.09
  • 베릴로그를 이용한 FSM(Finite State Machine) 및 자판기 설계
    논리회로실험FSM(Finite State Machine)및 자판기 제어기 설계1. Verilog Codemodule mealy (data_in, data_out, clock ... 적판기 제어 설계1. 실험 결과1)----------------------------Verilog Code----------------------------module coin ... 분석 및 고찰입력현재상태다음상태출력0state0state0*************0200123003301341X400[표 18-1]주어진 [표 18-1]에서의 값과 결과값을 비교
    리포트 | 14페이지 | 3,000원 | 등록일 2005.03.30
  • 판매자 표지 자료 표지
    [labview] LabVIEW를 이용하여 회전속도에 따른 진동수 측정을 통한 기계 이상 진단
    1. 제목-LabVIEW를 이용하여 회전속도에 따른 진동수 측정을 통한 기계 이상 진단2. 실험조 및 참가자-6조-99524018 노영석-20022868 김민정-20022884 ... 성춘현3. 실험일자-2003년 11월 21일4. 실험목적자연계의 대부분의 물리량은 analog형으로 이루어져 있다. 이 물리량들을 분석하고 활용하기 위해서는 analog형의 신호 ... 를 digital형의 신호로 바꾸어야만 한다. 본 실험은 이와 같은 analog형의 신호를 digital화하여 미지의 물리량을 수집하고 수집된 물리량의 분석을 목적으로 한다
    리포트 | 17페이지 | 1,000원 | 등록일 2004.11.06
  • Sucessive Selection Encoder 설계
    목 차Ⅰ. 서 론Ⅱ. Digital 논리 회로설계1. 논리 Gate 의 설계2. Logical effort 에 의한 논리 회로의 Delay 계산3. Logical effort ... 를 위한 값 측정Ⅲ. Sucessive Selection Encoder 설계1. SSE 의 원리 및 구조2. SSE 설계를 위한 Delay 계산Inverter ... 의 DelayTCMUX 의 DelayLogical effort 에 의한 SSE 의 Delay 계산3. Successive Selection Encoder 의 설계 및 Delay 측정
    논문 | 25페이지 | 3,000원 | 등록일 2006.12.23
  • [디지털 논리] 4-bits adder를 이용한 곱셈기 설계
    디지털 논리회로 실험Project #29 반 9 조제출일 : 2004. 11 .22, 월0041187 권용범0041121 최인영0340508 김석현*Adder를 이용한 곱셈기 ... [3]); // F4이라는 fulladd 모듈 설정endmodule◎ 결 과● wave form● time analyzer◎ 결과분석 및 토론이전 프로젝트에서 설계한 adder ... 설계*기본 개념● 곱셈의 원리2진수의 곱셈은 승수의 낮은 자리수로부터 연속적으로 살펴가는 과정으로서, 승수의 비트가 1이면 피승수를 아래의 그대로 써주고 그렇지 않으면 0을 아래
    리포트 | 5페이지 | 5,000원 | 등록일 2005.01.08 | 수정일 2021.05.03
  • [법학]CCTV와 범죄예방
    적 양상을 드러내고 있다. 이에 선진 각국에서는 범죄원인에 대한 학술적 탐구보다는 범죄의 억제와 통제에 총력을 기울이고 있는 실정이며 그 방안으로 대두되고 있는 것이 "환경설계에 의한 ... 범죄예방(Crime Prevention Through Environmental Design)"기법이다. CCTV는 이러한 최신 범죄예방 및 통제기법의 주요한 수단이며 가장 효과적인 ... 생활 침해 논란의 중심에도 CCTV가 자리잡고 있다.아울러, 범죄의 예방과 통제라는 공익 목적에 한정하여 사용한다는 확신이 공유된다 하더라도, 그 설치 및 운용비용은 누가 부담
    리포트 | 6페이지 | 1,500원 | 등록일 2006.10.24
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 24일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감