• 통합검색(2,266)
  • 리포트(2,067)
  • 시험자료(106)
  • 자기소개서(42)
  • 방송통신대(31)
  • 논문(14)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"7세그먼트" 검색결과 1,521-1,540 / 2,266건

  • 자동화 주차관리 시스템
    7세그먼트의 경우에는 아라비아 숫자 및 16진수에 필요한 A~F 정도의 문자를 겨우 표현하는데 비하여 LCD모듈은 모든 ASCII 문자들을 표현 할 수 있으므로 오늘날 많이 사용 ... 2.1 Hard Ware2.1.1 ATmega1282.1.2 ADC2.1.3 LCD2.1.4 SERVO MOTOR2.1.5 PWM 증폭 회로2.1.6 포토센서2.1.7 회로구현2 ... L0배 증폭하여 A/D 변환할 수도 있다. 한편, 아날로그 입력신호 단자 ADC0~ADC7은 아날로그 비교기의 음극성 입력으로 사용될 수도 있다.A/D 변환 시간은 사용 주파수
    리포트 | 26페이지 | 3,000원 | 등록일 2011.11.16
  • [기계실험]10진 카운터 제작
    기본이 되는 74시리즈를 다루어 봄으로서 디지털 이론을 공부해 본다. 실험에서는 7세그먼트를 구동하기위한 10진 카운터 74LS90 그리고 2진수를 입력 받아 7세그먼트를 구동 ... 에서 9의 보수를 이용하는 응용에서 BCD의 9로 reset할 수 있다.74LS47은 BCD code를 7-Segment에 표시하는 IC 이다.다. 7 Segment7-세그먼트 ... 다. (b), (c)는 (a)의 부분을 펼쳐 놓은 것이다. 7-세그먼트의 외부 모양 및 내부 구조7-세그먼트는 캐소드(Cathode)가 공통으로 묶여 있는 것이 있고, 애노드
    리포트 | 13페이지 | 1,000원 | 등록일 2006.05.17
  • E- business
    에는 데이터 요소들의 문자열이 포함되는데, 그 각각은 가격이나 제품모델번호 등과 같이 개별적 사실들을 표현하며 구획문자에 의해 분리된다. 여기서 전체의 문자열을 데이터 세그먼트라고 부르 ... 는데, 헤더와 트레일러에 의해 틀이 만들어진 하나 이상의 데이터 세그먼트들은 전송단위인 트랜잭션 세트를 이룬다. 하나의 트랜잭션 세트는 흔히 전형적인 한 장의 무역서류나 양식 내 ... 통계로 소비자 가격의 평균 5.7%를 절감하였으며, 1997년에는 7.3%의 절감효과를 가져 왔다.ECR의 대표적 사례로는 풀무원과 월마트, P&G가있다.풀무원은 제조업 주도
    리포트 | 42페이지 | 1,500원 | 등록일 2009.03.04
  • 삼성 전자 와 LG 전자 비교 분석 ( 제품 분석 )
    을 주도하는 초 일류 기업’삼성 History기업개요 - LG 매출액 : 125 조원 (2009 년 실적 기준 ) 투자액 : 11.7 조 (2009 년 실적 기준 ) 직원수 : 18 ... ! 세그먼트별 특화제품으로 시장 지배력 강화 ! 스마트폰 풀 라인업으로 시장 공략 강화 ! 올해 목표 - 1 억 4 천만대 !( 지난해대비 20%)SmartPhone 혁신적인 디자인 ... 전략 강력한 하드웨어 기반 차별화된 스마트폰 ‘ 소비자가 원하는 휴대폰 ’ OS 바다 ( bada ) 등 멀티 플랫폼 전략 안드로이드 , 윈도우 7 에 집중 올 출시 스마트폰 40
    리포트 | 32페이지 | 3,000원 | 등록일 2010.12.03
  • [컴퓨터]프로그래밍언어 8장문제정답
    선택문의 설계 고려 사항은 무엇인가?- 선택을 제어하는 식의 형식과 타입은 무엇인가?- 선택 가능한 세그먼트가 어떻게 명세되는가?- 선택 구조 전체가 구문 구조 내에 캡슐 ... 화 될 수 있는가?- 다중 선택 구조에 의한 실행 흐름이 단지 한 개의 선택가능한 세그먼트만을 포함하도록 제한되는가?- 대표되지 않는 선택자의 식 값이 존재 가능하면 어떻게다루어져야 하 ... 는가?6. C의 다중 선택문에서 특이한 것은 무엇인가? 이 설계에서 이루어진 설 계 절충안은 무엇인가?-묵시적 분기가 존재하지 않는다.-신뢰성을 감소시키고 유연성을 향상시켰다.7
    리포트 | 3페이지 | 3,000원 | 등록일 2006.04.20 | 수정일 2020.03.25
  • HBE-COMBOⅡ 를 이용한 주유기기 구현
    한 메시지를 출력한다.7세그먼트를 이용해 요금을 설정하고, Button을 이용하여 메뉴를 선택한다. 메뉴 선택과 요금을 설정하였으면 해당되는 메뉴와 요금에 맞게 주유를 실행한다. 진행 ... : out std_logic;VFD_RW : out std_logic;VFD_data : out std_logic_vector(7 downto 0));END COMPONENT ... ;COMPONENT OIL_SEGPORT(CLK: in std_logic;SW_M : in std_logic;seg_com : buffer std_logic_vector(7 downto 0
    리포트 | 17페이지 | 3,000원 | 등록일 2010.11.28
  • TBM공법과 그 적용사례인 유로터널
    공사 현장의 본부 격이자 라이닝 세그먼트의 제작공장이기도 하다. 이 갱구를 통하여 47m 지하에 있는 플랫폼으로 모든 건설자재, 작업인원, 장비들이 반입된다. 물론 굴착장비(TBM ... 와 라이닝 세그먼트를 내리기 위해 갠트리 크레인이 사용되었다. 건설공사가 완료된 뒤, 이 갱구는 터널을 위한 환기시설 및 냉각 설비를 위한 영구시설로 전환되었다. 그리고 사토장 지역 ... , 높은 굴착속도, 즉 7cm/min 이상에서는 water jet효과가 사라진다는 것을 알 수 있다.- 실드 TBM 공법굴착 전 지반의 상태는 안정된 원지반의 상태로 토압과 수압
    리포트 | 11페이지 | 1,000원 | 등록일 2009.12.08
  • 제 8장 (예비) 조합 회로 설계 실험.hwp
    /정지 시키는 역할을 한다.⑥ 7446, 7447, 7448 중 하나의 소자에 대한 조사를 하시오.7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이다. BCD-to-7 ... 세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고 볼 수 있다. ... 다. 인코더는 m개의 입력으로 n개의 출력을 만든다.입력출력D0D1D2D3D4D5D6D7X Y Z100001000010000100000000000000000 0 00 0 10 1 00 1
    리포트 | 5페이지 | 1,500원 | 등록일 2007.10.11
  • 구매전략 수립을 위한 구매기획 실무
    위험도 특화된 제품 특허등높은진입장벽 기술 대체재구매금액 중요도 급속한성장구매전문가평가자재 특성 평가공급사 세그먼트전략 공급사협업 공급사신규 공급사단순거래 공급사우선 공급사공급사 ... -. 공급기반의 최적화 : 아웃소싱, 기술소싱, 글로벌 소싱III. 구매의 10대 전략 과제7) 전략적 제휴 -. 전략적 공급 제휴는 회사의 가장 중요한 전략적 활동임. -. 전략
    리포트 | 17페이지 | 5,000원 | 등록일 2011.09.19 | 수정일 2023.02.24
  • [전자공학]TTl 소자를 이용한 디지털 시계
    동작을 실행 한다.74LS247 2진수를 7세그먼트에 표시되는 10진수로 바꿔주는 디코더이다. 즉 위의 업다운 카운터의 출력을 세그먼트로 표시 할 수 있는 숫자로 바꿔주는 역할 ... 단으로 넣어 주게 되고 다음 단으로 넣어 주게 된다. 이런식으로 카운팅이 가능하다. 시뮬레이션 결과를 살펴 보게 되면 다음과 같다.추가기능 요일 표시 7세그먼트를 이용해서 간단히 ... )주요 필요 부품 74LS192 74LS247 7-SEGMENT 오실레이터 74LS0074LS192 동기식 10진 업다운 카운터라고한다 들어 오는 입력에 따라 2진수의 10진 카운팅
    리포트 | 14페이지 | 1,500원 | 등록일 2005.12.10
  • [네트웍] 네트웍 TCP, UDP, IP
    6. 포트번호7. TCP 세그먼트8. TCP 연결 관리9. TCP 흐름 제어UDP10. UDP의 이해11. UDP 헤더IP12. IP의 이해13. IP 주소 체계14. IP ... , 전송 계층, 응용 계층으로 구분OSI 7 Layer와 비교하여 보면 다음 그림과 같다1) 네트워크 접속 계층(Physical & Data link layer)운영체제의 네트워크 ... 가 수신하기를 원하는 세그먼트의 최대 크기를 나타냄8. TCP 연결 관리연결을 사용하여 응용 프로그램을 식별함UDP는 포트 번호만을 사용하여 식별송신자 또는 수신자와 같은 종단
    리포트 | 22페이지 | 3,000원 | 등록일 2009.04.06
  • 판매자 표지 자료 표지
    우리나라 소매업태 백화점에 대하여 (롯데백화점, 현대백화점, 신세계백화점의 CRM전략등)
    세그먼트 전략 롯데 백화점 유통업계최초로 DW 구축 /MVG 제도도입 / 롯데멤버스제도 →방대한 고객데이터 획득 CRM 시스템 활용 정교한 타겟마케팅시행 멤버스제도 도입 고객수 6 ... -2 해외입점의 이유 국내시장의 과포화 백화점 대형마트 무점포판매 2009 년 21.6 31.3 27.1 2010 년 24.2 33.9 30.7 2011 년 26.5 36 34.44 ... 200 21.7 20.7 25.6 28.2 30.1 31.2 33.7 출처 : 통계청 , 유통원론 학현사 2003 년 매출액역전5-1 백화점의 위기 · 문제점 ▣ 신업태와의 경쟁
    리포트 | 59페이지 | 3,500원 | 등록일 2012.04.16
  • [디지털 시계]알테라(Altera) 를 이용한 디지털 시계 구현
    하는 회로의 출력단 4BIT를 BCD 디코더 드라이버에 연결을 하면 그 입력값에 따라 7개의 출력값이 나타나게 된다.이 7개의 출력값을 키트에 지정되어 있는 세그먼트의 PIN에 연결 ... 세그먼트의 시간을 조정 할려면 이 POSITION단자를 이용하여 각 세그먼트를 옮겨가며 시간을 맞추게 된다. 그러기위해서는 회로와 같이 MOD-6 카운터와 3×8 DECODER ... 한 클럭으로 계속 흘러야 한다는 것이다. 시계는 계속 가는 상태에서 POSITION, INC 입력단자로 세그먼트에 연결된 카운터에 값을 저장하게 된다. 그러므로 회로에서 보듯이 MOD
    리포트 | 20페이지 | 1,000원 | 등록일 2005.11.28
  • 모의 수출 레포트, (직접 수출을 한다면?), 모의 무역계약
    ················7Ⅳ신용조사················10Ⅴ결론················품 목 선 정1. 와인 정보가. 감와인의 특징감그린은 감으로 만든 세계최초 ... 게 하는 요인이 됐다. 이는 마치 1960년대 미국에서 ‘콜드덕’이라는 단 와인이 유행했던 것과 마찬가지 경우다. 도약기를 거친 중국 와인시장은 지난 7년간 급격한 성장세를 보여 ... 에서만 소비되는 와인량이 전세계 와인생산량의 3분의 1가량이라는 이야기다.둘째, 증류주 계열(중국 주류시장의 최대 세그먼트)의 시장점유율이 최근 들어 감소세를 보이고 있다. 중국 정부
    리포트 | 14페이지 | 2,500원 | 등록일 2011.10.04
  • EDI의 무역실무에 대한 영향
    개별적 사실들을 표현하며 구획문자에 의해 분리된다. 여기서 전체의 문자열을 데이터 세그먼트)라고 부르는데, 헤더와 트레일러에 의해 틀이 만들어진 하나 이상의 데이터 세그먼트 ... , 스웨덴, 영국, 홍콩, 싱가포르에 이어 7번째 시범국가가 됐다.- 우선 적용되는 분야인천-홍콩과 인천-싱가포르 구간에 선별적으로 적용되는 e-Freight는 항공화물 운송에 필요
    리포트 | 7페이지 | 1,000원 | 등록일 2009.11.26
  • 컬러 액정 디스플레이
    세그먼트 방식이 널리 활용되고 있다. 스태틱구동은 구성이 단순하지만 화소수 만큼 배선이 필요하다. 따라서 화소수가 많은 LCD에는 적합하지 않다.(2) 시분할로 구동하는 다이내믹 ... 화소수480*272240*320화면크기4.3형 와이드(랜드스케이프)2.7형(포트레이트)모듈휘도600cd/㎡(I=20mA)550cd/㎡(I=18mA)CPU등에 접속CMOS,RGB 각 ... 화한 LCD제품 : 2.7형 qHD(960*540)투과형 LCD"NL9654HL06-01J"의 개요(고정세화,고휘도,넓은 색재현성 요구를 만족시킨 제품)모델명NL9654HL06-01J
    리포트 | 11페이지 | 1,000원 | 등록일 2012.01.09
  • 자판기(vending machine) VHDL
    있으며 LED를 통해서 확인 할 수 있다. 투입금액 및 잔액은 세그먼트(우측 4개)에서 확인 할 수 있으며 반환키를 누르게 되면 세그먼트(좌측 2개) 500원짜리 동전 개수 ... 과 100원짜리 동전의 개수를 세그먼트로 표시하고 곧이어 state는 start상태로 천이된다.1.1 state machine에 의한 설계 자판기(vending machine ... _decode := "1101101";when 6 => seg_decode := "1111101";when 7 => seg_decode := "0100111";when 8 => s
    리포트 | 8페이지 | 2,000원 | 등록일 2007.04.12
  • OSI 참조모델 각 계층구조의 장단점, 7계층의 특징
    Ⅰ. 서론Ⅱ. 본론- 7계층의 특징1. 응용 계층2. 표현 계층3. 세션 계층4. 전송 계층5. 네트워크 계층6. 데이터링크 계층7. 물리 계층Ⅲ. 결론1. OSI 참조모델 각 ... 적인 수준에서 의식하지 않고 상호 연결이 가능해지게 된다.OSI 모델은 7계층으로 구성되어 있으며 논리적으로는 크게 3개의 그룹으로 나눌 수 있다. 제 1계층부터 3계층까지는 하나 ... 한 전송을 책임진다. 송신단에서는 전체 메시지를 전송 가능한 크기의 세그먼트로 분할하여 전송하고 수신단에서는 이를 재조립하여 전체 메시지를 형성하게 된다. 이 때, 전송층에서는 전송
    리포트 | 4페이지 | 1,500원 | 등록일 2009.09.17
  • [임베디드]LDS1000 - 디지털시계구현
    -******************************************************************//p1[0] = 0x84ffffff; // 첫번째 세그먼트에 해당하는 값들 저장p1[1] = 0xE7ffffff;p1[2] = 0x4 ... x04int init_bank(); // 뱅크초기화int init_digital(); // 키패드, 세그먼트 관련 초기화int init_clock(); // 세그먼트의 값들을 배열 ... #######\n");printf("\n===== Set your alarm =====\n");printf("Input 1th segment : "); // 각 세그먼트 별로 알람
    리포트 | 3,000원 | 등록일 2006.06.25
  • Ford- HBS 9-699-198 supply chain strategy
    (Vehicle Centers: VCs)로 통합되었고, 각 VC는 소비자시장 세그먼트에 맞는 차종의 개발을 책임졌다 (하나의 VC는 유럽에 위치했다). 공정과 제품을 세계적으로 공통 ... ,700당기순이익(백만불)9444,7002,200매출액대비이익률7.7%3.8%7.2%현금(백만불)32014,5002,200생산설비3 (텍사스, 아일랜드, 말레이시아)180 (북미, 남미
    리포트 | 11페이지 | 3,000원 | 등록일 2011.05.21
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 21일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감