• 통큰쿠폰이벤트-통합
  • 통합검색(2,925)
  • 리포트(2,318)
  • 논문(479)
  • 시험자료(92)
  • 자기소개서(16)
  • 방송통신대(15)
  • ppt테마(3)
  • 서식(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"Decoder" 검색결과 1,401-1,420 / 2,925건

  • VHDL이용한 NAND,NOT,OR,AND 설계.
    일시2010.5.28전공전자전기공학부실습시간학번이름제목* 7 SEGMENT DECODER FOR VHDL실습목적실습내용실습결과* 7 SEGMENT DECODER에 대하여 VHDL
    리포트 | 7페이지 | 1,000원 | 등록일 2012.07.04
  • [디지털시스템실험(Verilog)] General Purpose Register File(Register) 결과보고서
    )code2decoder의 출력값trash쓸모 없는 값(d-ff의 Q'값)(여기서 'GPR' = General Purpose Register File)('num' = 임의의 숫자 ... )다음으로 첫 번째 combinational logic이 설계된다.먼저, decoder가 사용된다. decoder의 코딩 소스는 다음 페이지에 나타내었다.decoder의 역할 ... 은 write port의 enable값과 decoder를 통해 나오는 값 중에서 특정 bit을 AND게이트로 통과시켜 clock의 발생을 결정하는 데 있다.이를 위해 각 bit 중 하나
    리포트 | 6페이지 | 2,000원 | 등록일 2011.10.05
  • 통신실험 예비 10
    of the PCM Decoder to the AUDIO INPUT og the Lowpass Audio Filter.Connect the AUDIO INPUT of the PCM ... . Reconnect the cable attached to the AUDIO OUTPUT of the PCM Decoder to the AUDIO INPUT og the
    리포트 | 5페이지 | 1,000원 | 등록일 2014.12.05 | 수정일 2014.12.19
  • VHDL을 이용한 소주,맥주 자판기 만들기
    );sel_decode : out std_logic_vector(3 downto 0);LED_soju, LED_makju : out std_logic;give_soju, give ... 0 to 5) return std_logic_vector isvariable seg_decode : std_logic_vector(6 downto 0);begincase drk ... iswhen 0 => seg_decode := "0111111";when 1 => seg_decode := "0000110";when 2 => seg_decode
    리포트 | 7페이지 | 2,000원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • [아주대] 논리회로실험 8장 예비(Counter)
    (decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.RESUME OF THEORYn진 카운터는 n진수를 카운팅 하며 원하는 진수 ... -SEGMENT DECODERS/DRIVERS라고 하며 7 segment로 0부터 9까지 표현할 수 있다. 예를 들면 0110이 들어온 경우 c, d, e, f, g가 출력되어 7 s
    리포트 | 7페이지 | 2,000원 | 등록일 2013.09.25
  • 항공예약실무
    하다.E 시에는 각 DECODE의 ENTRY(명령어) 다음에 /(슬래쉬)를 추가하여 사용한다.Ⅲ. DECODE/ENCODEluv-u-better@hanmail.net항공예약ENCODE ... *OSAKA 2. SS*/OSAKA 3. SC*/OSAKAⅢ. DECODE/ENCODEluv-u-better@hanmail.net항공예약ENCODE AIRPORT(공항)명을 코드 ... . SP*/ITAMI 3. SC*/ITAMIⅢ. DECODE/ENCODEluv-u-better@hanmail.net항공예약ENCODE NATION(국가)명칭을 코드화 할 때는 SN
    리포트 | 107페이지 | 2,500원 | 등록일 2012.07.26
  • 실험4 예비보고서
    (encoder)의 상대용어로, 흔히 디코더(decoder)라고 한다. 인코더는 우리말로 부호기(符號機)라고 한다. 컴퓨터의 디지털 데이터를 디코더를 사용하여 아날로그 데이터로 변환 ... 며, 부호기와 복호기를 함께 코덱(CODEC)이라고 한다. decoder는 이진 부호, BCD 부호, 기타 여러 가지 부호들을 부호가 없는 형태로 바꾸는 변환회로를 일컫는다.입력 ... ① 74HC/HCT138 (3-to-8 line decoder/demultiplexer; inverting)74138 디코더는 3개의 입력단자(ABC)와 8개의 active LOW 출력
    리포트 | 10페이지 | 1,000원 | 등록일 2013.01.01
  • 의사소통에서 남녀의 성차가 나타나는 양상 - 이론배경(언어적 의사소통)
    언어적 의사소통은 부호화(encoding)과정과 해독화(decoding)과정으로 이루어진다.부호화란 말하는 사람이 자신의 느낌이나 생각을 언어라는 상징으로 표현하는 과정에 해당
    리포트 | 9페이지 | 2,500원 | 등록일 2017.11.08
  • 영어교육론 기말고사 정리
    - 전통적으로 decoding approach ti language에 초점->focus on teaching the separate components of language (문법
    시험자료 | 15페이지 | 1,500원 | 등록일 2017.10.16
  • 서강대학교 컴퓨터학실험II 5주차 예비레포트 3-A / Parity bit 및 다중출력회로
    출력 사용다중출력 K-map의 구성ROM의 특성과 조합회로의 비교7-segment Display의 종류7-segment 복호기(Decoder)의 기능기타 이론
    리포트 | 4페이지 | 1,500원 | 등록일 2008.06.18
  • [교육행정] 의사소통 이론과 교육행정 (의사소통의 개념과 원리, 의사소통의 유형, 의사소통의 모형, 의사소통의 개선과 학교행정)
    의 목적지 또는 그것을 받는 사람을 가리킨다.④ 기호화(encoding)와 해독(decoding) : 메시지를 생산, 변형, 수신하는 경우 작동되는 인지적 구조 및 과정 등과 관련 있 ... 에 정보원(source), 기호화(encoding), 매체(media), 또는 경로(channel), 해독(decoding), 목적지(destination), 소음(noise)등
    리포트 | 9페이지 | 2,000원 | 등록일 2015.01.09
  • 논리예비8 Counter
    (decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하 고 그 동작원리를 이해한다.2. 실험이론반복해서 일어나는 현상의 수를 셈하는 장치. 현상을 펄스 전압으로 바꾸어 계수 ... 모두 High 상태인 경우 Counting 안 함- Q0 핀과 Clock1 핀을 연결하면 BCD Counter로 동작- BCD-TO-SEVEN-SEGMENT DECODERS
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
  • 냉방,난방시스템 디지털회로설계
    형 카운터74LS42- BCD to Decimal Decoder로 10진수를 표현하는 네자리 2진수-BCD(0000~1001)에해당하는 신호를 출력74LS47- 7-segment
    리포트 | 5페이지 | 3,000원 | 등록일 2014.12.21
  • dmac final report
    이다인 BUS와 RAM부터 그리고 더 세분화 시켜서 BUS 내부에 있는 Multiplexer와 Decoder에 대하여 먼저 검증을 해보려고 한다.BUS 검증Bus는 DMAC ... 이 없어도 동작하도록 구현되어있다. BUS의 주요기능은 Decoder와 Multiplexer이 두 가지로 구현 될 수 있는데, Decoder는 Address를 받아 Chip ... Select Enable신호를 출력해주고, 이 신호를 토대로 MUX에서 어느 RAM에서 들어온 데이터를 읽을지를 결정한다. 그럼 먼저 Decoder에 기능이 잘 동작하는지에 관한 검증
    리포트 | 19페이지 | 2,000원 | 등록일 2012.02.29
  • [경북대학교 신문방송학개론A+] 온라인강의 중간과제 필기
    기(reading)- 단지 일정한 기호를 해독(decoding)하는 능력이 아니라, 이러한 기호들을 다른 기호들과의 관계 속에 새롭게 위치시킬 수 있는 능력- 자신을 둘러싼 환경
    시험자료 | 21페이지 | 3,700원 | 등록일 2019.04.14 | 수정일 2024.05.20
  • [공학] PCM 과 채널 코딩에 대한 세부자료
    코딩 (channel coding)① 채널 코딩이란?채널 코딩이란 채널 부호화(channel encoding) 부분과 채널 복호화(channel decoding) 부분을 합한 것을 코드라
    리포트 | 9페이지 | 1,000원 | 등록일 2008.02.21
  • 실험8(결과)
    - Install a BNC T-connector and a 600Ω load on the AUDIO OUTPUT of the PCM Decoder.- Make the changes ... .PCM Decoder's output spectrum when fm=5kHz, fs=25kHzExplain the frequency spectra of the PCM ... Decoder's output.Sampling frequency를 25kHz로 해서 각 신호들의 간격이 25kHz 떨어져 있음을 알 수 있다. message 신호는 5kHz에 나타나고 그
    리포트 | 10페이지 | 1,000원 | 등록일 2012.01.02
  • [멀티미디어]코덱(Codec)이란?
    (coding)'이라 하고, 이런 기능을 하는 것을 '코더(coder)'라 한다.2. 디코더 (Decoder): 디지털 데이터를 사람일 알아듣거나 볼 수 있도록(아날로그화) 하 ... 는 것을 '디코딩(decoding)'이라 하고, 이런 기능을 하는 것을 '디코더(decoder)'라 한다.3. 아날로그 (Analog): 전압이나 전류처럼 연속적으로 변화하는 물리량 ... 하는 코더와 그 반대로 변환시켜 주는 디코더의 기능을 함께 갖춘 기술b. Coder + Decoder = CODECc. 음성이나 비디오 데이터를 컴퓨터가 처리할 수 있게 디지털로 바꿔 주
    리포트 | 8페이지 | 1,000원 | 등록일 2006.01.04
  • vhdl 시계
    eg( temp : integer range 0 to 9) returnstd_logic_vector is variable decode : std_logic_vector(6 ... downto 0);begincase temp iswhen 0 => decode := "0000001";when 1 => decode := "1001111";when 2 => decode ... := "0010010";when 3 => decode := "0000110";when 4 => decode := "1001100";when 5 => decode
    리포트 | 7페이지 | 1,000원 | 등록일 2010.12.06 | 수정일 2017.03.08
  • verilog, 베릴로그, 베릴로그로 짠 32x32 레지스터파일
    비트의 셀렉트 신호를 받아서 5비트 신호를 32개의 신호로 디코딩하기 위해 5-to-32 Decoder를 구성하였다. 그 후 디코딩 된 신호들을 write가 1->0이 될 때 각 ... 으로 넣어 주었다. 전체적인 쓰기 제어의 흐름은 다음과 같다.write sel 5비트 -> 5-to-32 Decoder -> negative edge module ... decoder ( write register select line ) * 1┗ wr_reg_sel ( for negedge write )2. Verilog Code
    리포트 | 7페이지 | 1,000원 | 등록일 2011.10.13
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 30일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감