• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(418)
  • 리포트(386)
  • 시험자료(19)
  • 방송통신대(6)
  • 논문(2)
  • 자기소개서(2)
  • 이력서(2)
  • 서식(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Logic and Computer D" 검색결과 121-140 / 418건

  • Logic Works를 이용한 ALU를 기반의 사칙연산 계산기 구현
    에 배운 이론을 바탕으로 Logic Works 프로그램을 사용하여 프로젝트를 설계한다. 산술 논리 연산 장치(Arithmetic and Logic Unit)의 원리를 알고, 어떻게 작동 ... Logic Works를 이용한 ALU를 기반의 사칙연산 계산기 구현[ 목차 ]1. 프로젝트 목표21.1. 설계 목적21.2. 프로그램 개요22. 프로그램 설계22.1. 설계 ... 의 구현(몫)252.6.4. 나눗셈의 나머지 구현272.7. 이진수의 BCD 코드로의 변환 원리와 구현283. 참고 문헌311. 프로젝트 목표1.1. 설계 목적컴퓨터 구성 수업시간
    Non-Ai HUMAN
    | 리포트 | 31페이지 | 3,000원 | 등록일 2016.08.16
  • 반도체 산업 공급체인관리의 분석
    . 제조형태에 따른 구분 반도체 제조형태에 따른 특성구분특징주요 기업종합 반도체기업?설계, 가공, 조립을 일괄 수행?대규모 R&D 및 설비투자 필요삼성, 하이닉스, Intel조립 ... 하며모가 적고 대용량 저장이 가능해 컴퓨터의 HDD를 대체가능한 제품으로 NOR(코드저장)형과 NAND(데이터지장)형으로 구분비메모리시스템IC마이크로컴포넌트컴퓨터를 제어하기 위한 ... 핵심부품으로 Micro Processor Unit, Digital Signal Processor 등이 있음Logic(ASIC)사용자의 요구에 의해 설계된 특정회로 반도체
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 3,500원 | 등록일 2019.12.01
  • [컴기설2 보고서] Shifter & Counter
    컴퓨터 공학 기초 설계 및 실험2 보고서실험제목: Shifter & Counter제목 및 목적제목Shifter & Counter목적FSM의 정의를 알고 design하는 방법 ... 로 이루어져있다.next state logic part의 구성이다.resettable 3-bit register는 resettable d flip-flop 3개로 연결되어있 ... 에 대해 한다. Sequential logic인 Shifter와 Counter의 동작 원리를 이해하고 flip-flop과 combinational logic을 이용하여 설계해보도록 한다
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 1,500원 | 등록일 2015.03.17
  • 프로그래밍언어
    프로그래밍언어프로그래밍 언어는 HYPERLINK "https://ko.wikipedia.org/wiki/%EC%BB%B4%ED%93%A8%ED%84%B0" \o "컴퓨터"컴퓨터 ... %ED%8A%B8%EC%9B%A8%EC%96%B4" \o "컴퓨터 소프트웨어"소프트웨어를 작성하기 위한 언어이다. HYPERLINK "https://ko.wikipedia.org ... /wiki/%EA%B3%A0%EA%B8%89_%ED%94%84%EB%A1%9C%EA%B7%B8%EB%9E%98%EB%B0%8D_%EC%96%B8%EC%96%B4" \o "고급 프로그래밍
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2017.10.05 | 수정일 2022.11.07
  • 05 논리회로설계실험 예비보고서(조합회로)
    한다. 디멀티플렉서선 택입 력출 력S1S0ID3D2D1D000XI00001X0I0010X00I011X000I 디멀티플렉서 진리표(4) ALUALU는 중앙처리장치의 일부로서 컴퓨터 ... 연산 (덧셈,뺄셈, 곱셈, 나눗셈)비트 논리 연산 (AND, NOT, OR, XOR)비트 시프트 연산(특정 비트만큼 이동하거나 회전) 1bit ALU 구성도S1S0논리식기능00Y ... =A nand BNAND01Y=A and BAND10Y=A or BOR11Y = A xor BXOR ALU의 기능표3. 실험 내용- 실험 1. 8가지 기능을 가진 ALU 설계(1
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    _vector(2 downto 0);D : out std_logic_vector(7 downto 0));end B1_PTJ_JSH_1 ;architecture Behavioral of ... 거나, 안테나를 통하여 전달된 아날로그 신호를 컴퓨터 모니터에서 사용할 수 있도록 rgb로 표현되는 디지털 신호로 변환하는데 쓰인다. 2X4 디코더 디코더의 진리표 2X4 디코더의 내부회로 ... 된 디코더의 회로는 다음과 같다. BCD to 7 segment 회로도3. 실험 내용- 실험 1. 3X8 디코더를 설계하시오(1) 진리표입력출력A2A1A0D7D6D5D4D3D2D1D
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 운영체계 공룡책 8.Main Memory 9.Virtual Memory 공부자료
    **메모리 하드웨어 구성하는 방법 기술메모리 관리 기법(페이징&세그먼테이션)각각 프로세스는 독립된 메모리 공간을 가짐: 특정 process만 접근 가능한 메모리주소영역 설정 ... 가능한 물리메모리 주소값 저장): limit(주어진 영역의 크기 저장) 레지스터 사용*레지스터:메모리계층의 최상위,가장 빠른 속도로 접근 가능컴퓨터 프로세서 내에서 자료를 보관 ... 를 선택해서 메모리로 올린 후 실행하고 메모리에서 명령어와 자료를 접속-논리주소(logical address):CPU가 생성하는 주소-물리주소(physical address):메모리
    Non-Ai HUMAN
    | 시험자료 | 3페이지 | 1,500원 | 등록일 2018.06.15 | 수정일 2018.06.18
  • Application-Design-Ⅱ-Text-LCD Control
    theory) for this Lab다. Hypothesis (Expected results) of this Lab & Basis of the assumption2. Materials ... . Discussion (토론)가. Check agreement between the hypothesis and the result나. Data analysis (compare ... results, reasons of error)5. Conclusion (결론)가. Summarize experiment contents & purpose of this Lab
    Non-Ai HUMAN
    | 리포트 | 27페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 4차 산업혁명 - 비트코인 주식 수혜주(기업) 분석
    하고 있다. 최대주주는 신동철 외(19.62%)이다.제이씨현시스템 (033320)컴퓨터 관련 제품 및 카 인포테인먼트 기기 공급과 기업용 보안솔루션 및 통합배선솔루션, 3D프린터 제품 ... 시스템 전문업체 센트리솔루션등 인수를 통해 R&D인력 및 특허, 인증 등의 기술자산 확보.자회사 레드비씨(서버?응용보안 사업) 및 SGA시스템즈(교육SI사업) 통한 사업 시너지 발휘 ... ReportReview & Issue1Report4차산업혁명 - 비트코인주식 수혜주(주요기업 분석)SUMMARY? 기술이 우리 삶 깊숙이 자리 잡고 있다. 예전에는 기술이 삶
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2017.05.11
  • 실험2 제08주 Lab06 Pre 4 Bit Shift Register
    전자전기컴퓨터설계실험Ⅱ분반 : 문용삼 교수님주차 : 8주차과목 : 전자전기컴퓨터설계실험Ⅱ학과 : 전자전기컴퓨터공학부학번 : 2009440132이름 : 전상기-목차-1 ... for this Lab⦁ Flip Flop (RS Flip Flop, JK Flip Flop, D Flip Flop)< RS Flip Flop > < JK Flip Flop ... > < D Flip Flop >⦁ Shift Register< Wave Form >< Diagram >Waveform의 형태를 보면 CLK(Clock)이 Rising할 때, 즉
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • PLC-곽정석
    ?d1id=11&dirId=1118&docId=63214655&qb=6re87KCR7IS87ISc&enc=utf8§ion=kin&rank=1&search_sort=0&spq ... .com/qna/detail.nhn?d1id=11&dirId=1118&docId=138352575&qb=7KCB7Jm47ISgIOyEvOyEnCDsnqXri6jsoJA=&enc ... 되며, 입출력 접점과 일대일로 대응된다. 데이터 처리 명령 군은 초기에는 타이머, 카운터의 동작을 수행하는 명령으로 구성되었다. 컴퓨터의 발달과 더불어, 데이터 처리 명령 군은 일반
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,000원 | 등록일 2016.06.06
  • 클라우드시스템 Salesforce.com 조사 발표자료
    1 2 3Salesforce.com Success On Demand. GBIS Team Project Team #3I NDEX C loud Computing + CRM A ... bout Salesforce.com V irtual Case Study C onclusion l mitation1 Cloud Computing + CRMC LOUD COMPUTINGC ... Activities include Marketing, Sales, Customer service, etc. Managing the relationship between customers and a
    Non-Ai HUMAN
    | 리포트 | 22페이지 | 3,300원 | 등록일 2014.11.11
  • Sequential Logic Design Ⅰ Flip-Flop, Register and SIPO
    Pre-Lab Report- Title: Lab#06 Sequential_Logic_Design_Ⅰ@ Flip-Flop, Register and SIPO -담당 교수담당 조교실 ... D의 상태를 Q에 전달함.Hypothesis of this Lab & Basis of the assumption데이터의 저장과 전송플립플롭은 데이터를 저장하는 용도로 많이 사용 ... theory) for this Lab다. Hypothesis of this Lab & Basis of the assumption2. Materials & Methods (실험 장비 및
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • 실험2 제07주 Lab05 Post Mux & DMux & BCD
    , Input A, B, C, D 중에 D를 Output Q로 출력하였다. >3) Measured data and description of Lab 3 (BCD to Excess-3 ... 전자전기컴퓨터설계실험Ⅱ분반 : 문용삼 교수님주차 : 7주차과목 : 전자전기컴퓨터설계실험Ⅱ학과 : 전자전기컴퓨터공학부학번 : 2009440132이름 : 전상기-목차-1 ... data and description of Lab 1 (2-bit 2:1 Mux)2) Measured data and description of Lab 2 (1-bit 4:1 Mux
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • Combinational Logic Design Ⅱ Decoder, Encoder and Mux
    Pre-Lab Report- Title: Lab#05_Combinational_Logic_Design_Ⅱ@ Decoder, Encoder and Mux-담당 교수담당 조교실 험 ... ) for this Lab다. Hypothesis of this Lab & Basis of the assumption2. Materials & Methods (실험 장비 및 재료 ... . Matters that require attentions3. Supposed Data and Results of this Lab (예상 실험 결과)4. Reference (참고문헌
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 1,000원 | 등록일 2016.04.06
  • 순차회로 설계 예비보고서
    latch 앞에 AND 게이트 2개와 Clock 입력을 추가한 SR F/F이다.SR F/F 진리표- 단순 논리기호로만 따지면 SR latch에 CLK 신호가 붙은 꼴로 latch 와 F ... /F의 대표적인 차이점이 반영되어있다.- 그 외에도 D F/F, JK F/F, T F/F 등이 있다.※ D F/F- SR F/F에서 부정인 상태(S=R=1)를 제거하기 위해 S ... 와 R의 입력을 동시에 1이 되지 않게 만든 Flip-Flop이다.- D F/F에서 D는 데이터(data)를 전달하는 것과 지연(delay)하는 역할에서 유래했다. 입력 D가 다음
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • GM대우 영문 자소서
    Europe, Organizing Data,ACTIVITIES• Club master, D.C.C. ( Dankook University Computer Club) [Mar, 05 ... ’ – Dec, 09’]Learning computer skills and sharing up to date technologist about computer• Interpreter ... apply for developer position in R&D part, especially safety-performance of your company.As a
    Non-Ai HUMAN
    | 자기소개서 | 2페이지 | 3,500원 | 등록일 2014.04.11
  • 실험2 제07주 Lab05 Pre Mux & DMux & BCD
    전자전기컴퓨터설계실험Ⅱ분반 : 문용삼 교수님주차 : 7주차과목 : 전자전기컴퓨터설계실험Ⅱ학과 : 전자전기컴퓨터공학부학번 : 2009440132이름 : 전상기-목차-1 ... :1 MUX & 4:1 MUX2개의 Input I0와 I1이 입력될 때, Output이 Select bit(S)에 의해 둘 중 하나의 값으로 출력되는 회로이다. 2개의 입력값이 1개 ... ) Procedure of Lab 1① 2-bit 2:1 Multiplexer의 Logic Circuit과 Truth table을 바탕으로 2:1 Mux의 Verilog Code를 작성
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • [verilog HDL] 감산기와 비교기의 설계
    전자전기컴퓨터설계실험2Combinational Logic Design: Arithmetic Logic and Comparator(Post-report)전자전기컴퓨터공학부 ... 의 가정 & 추측의 근거 PAGEREF _Toc400570726 \h 4 Hyperlink \l "_Toc400570727" 2. 실험 도구 & 실험 방법 PAGEREF _Toc ... ://terms.naver.com/entry.nhn?docId=754529&ref=y" 논리 회로를 조합시켜서 만든다. Hyperlink "http://terms.naver.com
    Non-Ai HUMAN
    | 리포트 | 27페이지 | 3,000원 | 등록일 2014.11.02
  • 실험2 제12주 Lab10 Pre TEXT LCD With Four Direction
    전자전기컴퓨터설계실험Ⅱ분반 : 문용삼 교수님주차 : 12주차과목 : 전자전기컴퓨터설계실험Ⅱ학과 : 전자전기컴퓨터공학부학번 : 2009440132이름 : 전상기-목차-1 ... . Predata of this Lab1) Lab 1 of Text LCD With Four Direction & Count4. Summarize5. Reference1 ... /D, S, S/C, R/L, IF, N, BF 등 Data bit에 포함된 Control bit으로 어떻게 작동하는지를 표현한다. 원하는 작업을 수행하기 위해 필요한 State
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.14
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 26일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감