• 통큰쿠폰이벤트-통합
  • 통합검색(2,925)
  • 리포트(2,318)
  • 논문(479)
  • 시험자료(92)
  • 자기소개서(16)
  • 방송통신대(15)
  • ppt테마(3)
  • 서식(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"Decoder" 검색결과 1,141-1,160 / 2,925건

  • 연세대 문화와 사회 기말고사 대비 수업필기입니다.
    , encoding(작가에 의해서 특정한 목적을 가지고 encoding) →text →decoding (작가가 아닌 수용자가 decoding 한다), 집단과 시대, 배경에 따라서 개인
    시험자료 | 34페이지 | 2,000원 | 등록일 2020.07.31
  • 아주대학교 논리회로 실험 설계 예비보고서
    의 CLKA는 5Mhz Clock과 바로 연결한다. 10진 counter를 7442 Decoder와 연결하였다.※7-segment FND507의 Datasheet3번과 8번 단자 ... 는데, IC 7447이 그 역할을 한다. BCD data를 7seg control signal로 decoding해주는 특별히 구별되는 decoder기능을 하기 때문이다. 이 part ... 할 수 없음을 고려하고, 이를 해결하기 위해 74151 MUX와 7447 Decoder를 이용해 회로를 구성한다. MUX는 필연적으로 control signal이 필요할 수 밖에 없
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 영문 수업계획안 (중학생 대상)
    English.Transcript Analysis< Decoding-Building Processes >>Spreading activationWoman: How it is
    리포트 | 6페이지 | 2,000원 | 등록일 2020.05.20
  • [예비레포트] 숫자표시기 아두이노
    을 켜주어 해당 숫자가 표시되도록 하여 주는 IC를 BCD to 7 segment decoder/driver라고 부른다. 대표적인 것으로 7446, 7447또는 74246, 74247
    리포트 | 5페이지 | 1,000원 | 등록일 2019.08.22
  • 5장 감산기, 6장 인코더와 디코더
    + I7 + I92. 디코더디코더(decoder : 복호기)는 원래 암호를 해독하는 의미를 지니고 있다. 인코더와는 반대로 2진수를 원래의 상태로 변화시켜 주는 회로로 n개의 입력
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.10
  • 생명의 본질 DNA
    된 COURSERA 온라인 강좌인 ‘dna-decoded’란 강좌를 선정해 수강했다. 이 보고서에선 강좌에서 배운 내용인 DNA에 대해 스스로 정리하는 시간을 갖고자 한다.2. 본론I ... 는 DNA로 구성되어 있다. 더 나아가 DNA를 생명의 본질이라 할 수 있는 이유는, DNA는 생명체에 관한 모든 정보를 암호화 시킨 분자이기에 해독(decode)을 할 수 있
    리포트 | 6페이지 | 2,000원 | 등록일 2019.01.15
  • 판매자 표지 자료 표지
    Design (15,k) linear block codes and compare their performance to the uncoded case
    에 고려대상이 되지 않는다. 오류율을 좋게 하기위해서는 다음으로 Syndrome Decoding시 Error Vector를 정할 때 오류가 적게 난 것을 최대한 많이 Decoding ... 은 모두 찾으므로 2개의 Error Pattern을 고려해야 한다. 이상적인 경우 2개의 Error Pattern을 모두 Decoding 할 수 있는 것은{} _{15} C _{2
    리포트 | 12페이지 | 2,000원 | 등록일 2017.05.30
  • 디지털회로설계 (쇼핑카트 계산기)
    Decoder를 통해 32개의 Word Line 생성- 32개의 Word Line은 상품 가격이 저장된 ROM에 연결- ROM에서 출력된 7bit의 상품 가격은 10bit
    리포트 | 18페이지 | 2,000원 | 등록일 2015.12.12
  • Lab#05 Combinational Logic Design 2
    Post-Lab ReportLab#05 Combinational Logic Design 2@ Decoder, Encoder and Mux담당 교수강 상 혁담당 조교실 험 일실 험 ... & Methods5가. Materials5나. Methods5다. Precaution63. Supposed Data7가. Prelab1. 3:8 Decoder7나. Prelab2. 2:1 ... (Synthesis tool)나. Methods1) 3:8 Decoder Logic design가) 프로젝트를 생성한다.(Top level Source : HDL)나) Verilog
    리포트 | 26페이지 | 1,500원 | 등록일 2016.09.11
  • 디지털회로 실험 결과 보고서(디코더, 인코더)
    : 7404(NOT), 7408(AND), 7432(OR), 74139(2-to-4 decoder),7447(BCD-to-7 segment decoder)LED(Light ... Display) 1개실험 10-1 2-to-4 디코더(decoder)입력회로의 출력BAD3D2D1D*************1001001110002-to-4 디코더 실험 방법① 브레드 보드 ... 는다.⑤ 회로 구성할 때 핀 번호를 헷갈리지 않도록 주의한다.⑥ 그 출력 값은 멀티미터로 측정하여 그 결과를 분석하라.실험 결과 및 분석디코더란?- 디코더(Decoder)는 입력
    리포트 | 6페이지 | 1,000원 | 등록일 2015.12.20
  • 디지털 시스템 실험 Simple Computer 3 결과보고서
    으로는 Control Unit의 구성요소인 Instruction Decoder와 Program Counter를 설계한다.먼저 Instruction Decoder는 13bit ... . 다음으로 이 Instruction 값을 Instruction Decoder의 입력값으로 주어 각각의 Control Signal로 분배해준다. 마지막으로 Program Counter
    리포트 | 4페이지 | 1,500원 | 등록일 2016.04.08
  • 몬테소리 감각영역 레포트
    도록 해야 한다. 단위에서 처음 소개되는 작업의 제시는 가장 어린 유아에게는 적당하도록 쉬워야 하며, 변형과 응용, 부분적 암호화(encode)와 해독(decode)은 성인이 도전 ... 놓아 영구적인 기록을 창조해 보자.해독(Decoding Unit)은 유아가 패턴 카드를 사용해서 복제하는 것이다. 카드의 패턴은 난이도에 따라 다양할 수 있다. 가장 쉬운 작업
    리포트 | 17페이지 | 5,000원 | 등록일 2018.12.22
  • 디지털 시스템 실험 RAM(Random Access Memory) 예비보고서
    를 저장하고 있다. 따라서 주소에 접근하기 위해 4-to-16 Decoder를 이용하고 있다.1.4 Cell Arrays and Coincident Selection위 회로는 두 개 ... 의 2-to-4 Decoder를 이용하여 16*1 RAM을 구현한 것이다. Row Decoder는 주소 값에서 높은 2bit의 주소를 선택하며, Column Decoder는 낮은 2
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • digital communications(디지털통신) BPSK, QPSK matlab code입니다.
    )Quantization(양자화)Pulse Modulation(펄스변조)Receive(수신)Transmit(송신)Channel(채널)Demodulation(복조/검파)Decode(디코더)Low
    리포트 | 6페이지 | 9,800원 | 등록일 2012.06.20 | 수정일 2016.01.06
  • Reading Explorer 5 9A 전공자가 해석한 북일고 상산고 교재 정확한 해석과 깔끔한 어휘 정리
    Decoding Leonardo (레오나르도 해독하기)According to legend, in the year 1505 near the Tuscan town of Fiesole
    시험자료 | 12페이지 | 3,000원 | 등록일 2016.12.18
  • 논리회로설계실험_4조_실험일(080416)_보고서
    Decoder (Data flow)HDL Codelibrary ieee; use ieee.std_logic_1164.all; entity decoder_data ... : out std_logic_vector(7 downto 0)); end decoder_data; architecture ... dataflow of decoder_data is begin d(0)
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.19
  • 2018 컴퓨터 구조 과제 1 (chapter 1,2,3)- 단국대학교 소프트웨어학과
    ->Instruction fetch->Instruction operation decoding], Execute Cycle [Operand address calculation->Operand
    리포트 | 5페이지 | 2,000원 | 등록일 2020.05.22
  • 변이형 오토인코더(VAE)
    다.- 잠재공간의 한 포인트를 입력받아 이미지(픽셀의 그리드)를 출력하는 모듈에 해당하는 생성자(generator) 또는 디코더(decoder)를 만들어 낸다.(GAN에서는 생성자
    리포트 | 5페이지 | 2,000원 | 등록일 2019.06.27
  • Lab#08 Application Design1
    BenchFuctional Simulation가. Prelab1. Static 7-Segment Contorler7-Segment Code는 간단한 Decoder로 구현이 된다. 7 ... -Segment자체가 들어온신호를 Decode하여 각각 점등이 되어야 하기 때문에, 7-Segment구성 표를 보고 입력이 들어왔을 때 Case를 나누어서 각각에 해당하는 LED가 점등 ... //PIEZO Controlassign {a,b,c,d,e,f,g} = DECODE;//FND출력assign PIEZO = BUFF;endmodule3) Inlab3. Counter with
    리포트 | 30페이지 | 1,500원 | 등록일 2016.09.11
  • 디지털시스템실험 10주차 결과리포트
    ;output reg [3:0] Reg0, Reg1, Reg2, Reg3;wire D3,D2,D1,D0;wire [3:0]q0,q1,q2,q3;DECODER2to4 U1(Daddr
    리포트 | 7페이지 | 1,500원 | 등록일 2018.01.03
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 28일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:31 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감