• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(179)
  • 리포트(127)
  • 논문(43)
  • 방송통신대(3)
  • ppt테마(3)
  • 서식(1)
  • 시험자료(1)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Component Architecture" 검색결과 81-100 / 179건

  • 친환경센터 리모델링
    이 최소화되어 에 너지를 절약 할 수가 있다 . Ecology Architecture System2. 건물 구성 요소 냉각 (Cooling of Building Components ... ECO-Center Guest house for Recycling Closed School Ecology Architecture , Remodeling , Guest ... , 재생 , 재활용 소재 , 운반과정이 손 쉬운 에너지 투입이 적은 자재 및 부품 사용 Ecology Architecture우수를 활용한 냉각시스템 1. 건물 외피 냉각
    리포트 | 31페이지 | 3,000원 | 등록일 2010.10.20 | 수정일 2020.04.09
  • [PPT] VHDL 문법
    VHDL 문법2 식별어 (Identifier) 설계자의 임의 문자열 entiry, architecture body, port 의 이름 정의 규칙 VHDL 은 대소문자 구별 없 ... 까지 주석 처리5 VHDL 기본 구성 Entiry declaration 설계 회로의 인터페이스에 관한 정보 기술 Architecture bode 설계 회로의 내부 동작 및 연결구조 ... (exponentiation)44 산술 연산자 2 사용 예 architecture HB of ARITH_OPER is begin process(A,B) begin Y1 = A + B
    리포트 | 86페이지 | 1,000원 | 등록일 2010.06.12
  • 미니주택과 이동주택 요약정리
    Anderson Architecture, Cantilever HouseNew hous ing form and matrix B ureau Ira Koers [ 뷰로 아이라 코어스 ... , Copenhagen, 2005 Technical specifications: Basic module: Height: 2, 3 meters Width: 2,4 meters Component ... Max speed: 60 meters/hour Component list: Plating and framework wood and plywood Legs made of steel
    리포트 | 29페이지 | 3,500원 | 등록일 2012.04.17
  • [4주차] Multiplex
    .▶ Component 구문을 자유롭게 entity내에서 활용할 수 있도록 한다. ( 본 실습에서 8 to 1 Mux는 2 to 1 Mux를 사용하여 설계된다. )2. Background1 ... type의 1bit value.end Mux2;architecture Behavioral of mux2 is -- entity Mux2의 동작설계begino '0');signal s
    리포트 | 11페이지 | 2,000원 | 등록일 2012.06.30
  • VHDL 디지털시계 발표 자료
    조 직 도 기 본 사 양 설 계 사 양 진행과정계획 성 취 도 주차 완성 소스목 차Component Repair7진SegmentCountClock 신호 입력(1kHz) 시,분,초 ... *수정 후 : clock 과 reset 에 의해 구동되던 시계에 입력 botton 을 준다246060countclockresetbutton4 주차 완성 소스architecture s
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • 전가산기 전감산기 결과보고서
    ;architecture Behavioral of plus222 isSIGNAL tmp1 : std_logic;SIGNAL tmp2 : std_logic;SIGNAL tmp3 : std_logic ... ;COMPONENT plus1PORT(A,B:in STD_LOGIC; C,D:out std_logic);END COMPONENT;COMPONENT plus3PORT(A,B : in ... STD_logic; C : out STD_LOGIC);END COMPONENT;begincgb:plus1 port map (A,B,tmp1,tmp2);wfe:plus1 port
    리포트 | 5페이지 | 1,000원 | 등록일 2008.06.22
  • 카카오톡
    mediated network)의 구성요소(Component),규칙(Rule), 아키텍쳐(Architecture), 생태계(Ecosystem) 등을 플랫폼 이용자(공급측면에서 애플리케이션
    리포트 | 11페이지 | 1,000원 | 등록일 2013.12.29 | 수정일 2014.01.01
  • SOA 개발 방법론 개념 특징 CBD 성숙도모델 등
    (Service Oriented Architecture) 는 변화에 적시에 적절히 대응할 수 있는 IT 시스템을 구축할 수 있도록 하는 개념으로 , 기업이 비즈니스의 유연 ... 에서의 통합의 당위성 대두 Component 의 재사용에 한계 환경변화에 신속하게 대응하기 위한 소프트웨어 재사용성 극대화 필요 IT 인프라 또한 기업 환경 변화에 유연하게 적응
    리포트 | 43페이지 | 2,000원 | 등록일 2013.06.06
  • 논리설계실험 chap02 가산기설계
    COMPONENT를 이용하여 설계해본다.- component 선언- component의 형식이름과 실제이름을 결합시킴Simulator 과정 중에 생기는 오류를 분석하고 수정하여 올바른 ... Project 생성- Top-level Modual Type 지정- Component를 위한 New Source 생성( VHDL Modual - File name : "fulladder ... " 로 지정 )port ( x, y, ci : in std_logic;s, co : out std_logic );- 전가산기 형태의 component 설계-Signal 지정- Architecture에 게이트 지정begins
    리포트 | 8페이지 | 1,000원 | 등록일 2008.10.26
  • HDL 파일
    ;USE ieee.numeric_std.ALL;ENTITY runhw1_vhd ISEND runhw1_vhd;ARCHITECTURE behavior OF runhw1_vhd IS- ... -o 0);C4 : OUT std_logic);END COMPONENT;--InputsSIGNAL C0 : std_logic := '0';SIGNAL A : std_logic ... _unsigned.all;USE ieee.numeric_std.ALL;ENTITY runhw2_vhd ISEND runhw2_vhd;ARCHITECTURE behavior OF runhw2
    리포트 | 7페이지 | 1,000원 | 등록일 2008.12.22
  • Vhdl을 이용한 8x1 MUX 설계
    8_vhd ISEND tb_mux8_vhd;ARCHITECTURE behavior OF tb_mux8_vhd IS-- Component Declaration for the Unit ... ;architecture Behavioral of mux2 isbegino1 i(0), i1(1)=> i(1), s1=> s(0), o1=> io(0) );m1 : mux2 port map(i ... Under Test (UUT)COMPONENT mux8PORT(i : IN std_logic_vector(7 downto 0);s : IN std_logic_vector(2
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12
  • 로봇청소기 졸업작품 중간보고서 PPT
    type w본론 Components 1. MCU : Atmega128 Module 2. Cleaner Robot : LVC-0711 3. Sensor : NT-TS 601 4 ... 128 Module High performance, low-power 8 Bit MCU Advanced RISC Architecture 128 K Bytes of In-System ... received본론 Division of works Component ○ ○ ○ Programming ○ Case Part ○ ○ Motor Part ○ Sensor Part
    리포트 | 19페이지 | 2,000원 | 등록일 2008.12.07
  • VHDL을 이용한 LED, LCD 문자출력
    ));end led_control;architecture sample of led_control isfunction sel_dec(temp : std_logic_vector(3 ... td_logic_vector(3 downto 0);q : out std_logic_vector(6 downto 0));end component; -- Component ROM 선언 ... Component 파일library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use work.mypack
    리포트 | 15페이지 | 3,000원 | 등록일 2009.12.03
  • Application method research of eco friendly heat insolated roof using rain for residential building
    building Architectural EngineeringContents Reasons for Application Solutions and Processes ... layer of waterSolutions and courses Components Heat storage layer of the roof Removable insulating layer
    리포트 | 24페이지 | 1,000원 | 등록일 2011.12.09 | 수정일 2014.08.04
  • 판매자 표지 자료 표지
    경영정보시스템원론 SCM
    Architecture : 코바)차세대 e-Application을 구축함에 있어 기업 정보시스템 인프라 부분에서 추가적 요구가 생겨나게 되었다. 우선, e-Application은 최대 ... 다.COM/DCOM(Distributed Component Object Model : 콤)MS사가 개발한 사양이자 도구로 컴포넌트 통합 프레임워크를 제공한다. 이 프레임워크는 분산
    리포트 | 5페이지 | 1,000원 | 등록일 2012.10.09 | 수정일 2015.04.07
  • 논리설계실험 chap03 mux설계
    습 1 )- Case 구문을 이용하여 2x1 MUX를 이용하여 8x1 MUX를 구성 하여라.▶ 2x1 MUX를 이용 ( Component 선언, Case 이용 )▶ Input I ... 은 제거되고 한 개의 output만 존재하게 된다.? 따로 Component를 이용할 필요 없이 Case 구문으로 쉽게 표현 가능하다.? Input 은 I0~I7까지 8개의 Input ... -level Modual Type 지정- Component를 위한 New Source 생성( VHDL Modual - File name : "MUX2 " 로 지정 )port ( i0
    리포트 | 16페이지 | 1,000원 | 등록일 2008.10.26
  • VHDL을 이용한 UART설계
    : in std_logic_vector(2 downto 0)); end uart; architecture behavioral of uart is signal rxclk : std ... : std_logic := '0'; signal latch : std_logic_vector(7 downto 0);Transmit Component(2)begin dout ... ; end if; if cnt = 0 then flag := '0'; end if; latched = flag; end process;Transmit Component(3
    리포트 | 24페이지 | 3,000원 | 등록일 2007.12.23
  • [공학]VHDL GATE MODEL링
    bit );end COMPONENTS ;architecture OR_GATE_COMPONENTS of COMPONENTS issignal O : bit ... bit ; O : out bit );end OR1 ;architecture OR_GATE of OR1 isbegin ... ;architecture OR_GATE of OR2 isbegin process(I1, I2) begin if(I1 = `0`) and (I2 = `0`) then O
    리포트 | 7페이지 | 1,000원 | 등록일 2006.04.06
  • 커널모드 드라이버
    Kernel Mode Driver ArchitectureKernel Mode Driver Architecture1. Overview of Windows ComponentsNT ... Component OverviewNT 기반 OS는 Kernel-mode와, User-mode에서 실행되는 몇 가지 요소(Component)로 구성되어져 있으며, 각각의 구성요소 ... 드라이버와 같은) 나 다른 보호된(Protected) 서브시스템(Subsystem) 요소(Component). 유저 모드 드라이버는 서브시스템에 …논리(Logical), 가상
    리포트 | 10페이지 | 1,500원 | 등록일 2009.06.05
  • [컴포넌트][컴포넌트 특성][컴포넌트 플랫폼][컴포넌트 재사용][컴포넌트 방향]컴포넌트 개념, 컴포넌트 특성, 컴포넌트 구조, 컴포넌트 교체, 컴포넌트 플랫폼, 컴포넌트 재사용, 향후 컴포넌트 방향 심층 분석
    . 컴포넌트의 특성Ⅳ. 컴포넌트의 구조Ⅴ. 컴포넌트의 교체Ⅵ. 컴포넌트의 플랫폼1. 컴포넌트 플랫폼의 개요2. 주요 플랫폼 현황1) CCM(CORBA Component Model)2 ... 기반의 소프트웨어 개발 (Component based Software Development) 은 소프트웨어 위기를 해결하기 위한 가장 중요한 방법 중에 하나로 인식되고 있 ... 이 증가하고 있다. 또한 월드와이드웹(WWW)의 대중화로 인하여 단독환경이 아닌 네트워크상의 컴퓨팅이 보편화되고 있다.현재의 컴포넌트는 주로 객체 중심의 COM (Component
    리포트 | 6페이지 | 5,000원 | 등록일 2009.05.06
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감