• 통합검색(2,266)
  • 리포트(2,067)
  • 시험자료(106)
  • 자기소개서(42)
  • 방송통신대(31)
  • 논문(14)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"7세그먼트" 검색결과 821-840 / 2,266건

  • 중국.인도.일본.인도네시아.호주.태국 자동차 시장 현황 및 분석
    중국 . 인도 . 일본 . 인도네시아 . 호주 . 태국 자동차 시장 현황 및 분석중국 중국 자동차 시장 분석 중국시장 현대 / 기아차 판매 분석 중국시장 주요 브랜드 세그먼트 별 ... 등 정책요인 중요성 부각 산업개요 • 2009년 모터라이제이션이 본격 시작한 이후 2015년 6~8월 전년대비 3~7% YoY 판매 감소. 2015년 연간으로는 7.3% 증가추이 ... : 2,115만대 (+7.3% YoY) • 주요 브랜드 (점유율): VW (16.3%) / GM (10.5%) • 2015년 LV 생산: 2,108만대 (+5.8% YoY
    리포트 | 26페이지 | 3,000원 | 등록일 2017.11.15 | 수정일 2017.11.22
  • 교량공 PSM공법
    으로 저항하는 교량(7) 타이드 아치교(tied arch bridge)아치와 양단을 타이(tie)로 연결하여 양지점에 작용하는 수평 반력을 타이로 받게 한아치교의 일종이며 한강대교 ... 의 분류 및 가설법)2. 프리캐스트 세그먼트 공법(P. S. M))프리캐스트 세그먼트 공법은 캔틸레버 공법의 일종으로서 일정한 길이로 분할된 세그먼트를 공장에서 제작하여 가설현장 ... 에서는 크레인 등의 가설장비를 이용하여 상부 구조를 완성하는 공법이다. 이 공법은 당초 세그먼트 접착시에는 모르터를 사용하였으나 1960년대 들어서 에폭시(epoxy) 수지접착제
    리포트 | 20페이지 | 1,000원 | 등록일 2012.09.17
  • 문제해결 7단계 보고서
    Page *A. 논리적 문제해결 기법 B. 이슈 분석 ExampleA. 논리적 문제해결 기법 .목 차Page *문제해결을 위한 7가지 단계Step 1문제 정의Step 2이슈 ... 들을 분리(tree)Step 3비핵심 이슈의 제거(funnel)Step 4구체적 업무/분석 계획 수립Step 5정밀 분석 시행Step 6분석결과 종합, 건의안 도출Step 7설득력 있 ... 에의 투자가 시장/과거 투자에 필적하는가 2. 양이 투자 변화에 반응하는가?주요 이슈주요 이슈A. 논리적 문제해결 기법 .Page *1. 대규모 소비자 세그먼트들이 특정 건전지의 제품
    리포트 | 55페이지 | 2,500원 | 등록일 2017.11.09 | 수정일 2019.05.01
  • 이케아 IKEA 마케팅 PPT
    SWOT 분석 7. 이케아 STP 분석 8. 마케팅믹스 4P 전략 (1) Product (2) Price (3) Place (4) Promotion 9. 향후전략제언 INDEX1 ... 보다는 Low-end 세그먼트 즉 , IKEA 의 타겟은 … (3) Customer5 . 이케아의 경영전략사람들에게 자신을 표현할 기회를 주는 브랜드 추구 디자인이 뛰어난 저가격 상품 ... 착취 ) Threat 국내 DIY 문화의 미정착 영세가구시장 보호하는 정부의 규제 한국 언론의 부정적 보도 한샘 , 리바트 등 잠재 경쟁자진입 (shield 브랜드 출현 가능성 )7
    리포트 | 33페이지 | 5,800원 | 등록일 2017.02.09
  • 실수형 계산기
    ) init_variable();number_to_display();display(); // 7세그먼트 표시}}void init_port() {// 7-세그먼트DDRC = 0x0F;DDRA ... ();void decimal_to_scientific(float result);char seg_num[10] = {0x3F, 0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7D ... , 0x07, 0x7F, 0x6F};char seg_num2[4] = {0x3F, 0x06, 0x5B, 0x4F};char seg_dot_num[10] = {0xBF, 0x86
    리포트 | 2,000원 | 등록일 2013.12.18
  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    의 oS_COM은 각 segment 모듈을 켜거나 끄는 기능을 하고, Os_ENS는 각 7세그먼트의 획(LED)이다. 이를 통한 Verilog 코드는 다음과 같다.module ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목7-s ... egment실험목표① 4bit binary 를 8bit BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계② BCD 입력을 7-segment로 출력하는 디지털 회로 설계
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • BMW GROUP 현황과 미래 - 기술력과 디자인의 조화 [BMW GROUP Present and Future-Harmonization of technical design]
    :BMW VISION 미래의 상호 작용 BMW 비전 NEXT 이동성의 미래 BMW 7 시리즈의 6 세대 : 럭셔리 세그먼트의 새로운 벤치 마크 iPERFORMANCE 와 BMW i 는 ... - 제품 포트폴리오의 균형을 ROLLS ROYCE BMW MOTORRAD 기술 리더로서 우리의 위치를 보장 운전 경험을 재정의 . 초점 AS 고객 혜택 새로운 BMW 7 시리즈 ... 2015년 수치 . 2 , 2 4 7 , 4 8 5 + 6.1 % 1 , 9 0 5 , 2 3 4 + 5.2 % 3 3 8 , 4 6 6 + 12.0 % 3 , 7 8 5 - 6.8
    리포트 | 56페이지 | 5,000원 | 등록일 2016.09.05
  • 조합논리회로 실험 이론정리(10주차)
    . BCD 디코더는 무정의 조건을 이용한 카르노 맵을 사용하여 논리식을 쉽게 구할 수 있다. 진리표를 통한 카르노 맵을 구성하게 되면 다음과 같다.마지막으로 BCD – 7–세그먼트 ... 디코더이다. 세그먼트 디코더는 BCD코드를 입력하여 각각에 대응하는 숫자를 표시하는 7-세그먼트 표시장치로 출력하는 디코더이다. 다음 그림이 7-세그먼트 디코더이다. 흔히 디지털 ... 의 종류이다. 우리가 실제 사용하는 것은 대부분이 캐소드로 이루이 진 것이 많다. 7-세그먼트에서 1을 표시하기 위해서는 b, c에 불이 들어와야 하고 2의 경우는 a, b, g
    리포트 | 10페이지 | 1,000원 | 등록일 2013.06.09
  • 7 segment를 이용해 학번과 이름 출력하기
    7 segment를 이용해 학번과 이름 출력하기by Han. S H1. 개요7 seament를 이용해서 입력을 했을 때 숫자와 알파벳이 출력되도록 한다.2. 방법1) 입력- s ... bit가 만족함으로 5bit 으로 지정한다.2) 출력- sel 항에 따라 출력값이 변하며 7seament는 7개의 LED등으로 구성 되면0일 때 꺼지고 1일 때 켜진다.고로 7bit
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.18
  • SWOT분석은무엇인가 - 결과, 분석방법, 예시
    분석 방법 – Step6STEP 6 – 전략적 결정 그리드 예STEP 7 – 전략 개발 세그먼트의 실질적인 목표를 반영하는 전략 기술문을 작성한다. 분석을 설명하는 결과를 포함 ... (기업, 제품)에 관해 수집된 정보를 통합하고 포괄적으로 분석하는 방법 SWOT분석의 혜택? 시장 세그먼트에 대한 우선순위(중요도)를 파악하게 한다. 그 세그먼트의 매력(장점 ... )을 찾는다. 그 세그먼트를 매력적인 시장으로 파악하게 하는 좋은 기회, 경쟁우위, 핵심 역량을 갖추고 있다. 기회의 우선순위를 파악한다. 해당 기업과 제품에 대해 최고의 기회를 찾
    리포트 | 32페이지 | 2,000원 | 등록일 2012.05.02
  • 7-segment 표시를 위한 Decoder 설계
    3); -- 입력은 0~3인 4개항의 논리벡터output : out std_logic_vector (0 to 6)); -- 출력은 0~6인 7개항의 논리벡터end; - ... 하다.when "0000" => output output ... -- 7segment의 부울식을 지정해준다. (1)....Block-Diagram을 보면 각 Output마다 연결된 논리게이트와 Port의 수가 다르다는 것을 볼 수 있
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • BCD TO 7-SEGMENT DECODER 설계 결과 보고서
    설계 결과 보고서BCD TO 7-SEGMENT DECODER 설계Group:Subject:Professor:Major:Student Number:Name:Due Date:목 차1 ... )Simulation36.회로도 및 Artwork3(1)회로도3(2)Artwork37.동작설명48.설계과정49.테스트결과(사진)5(1)제작(사진)5(2)테스트사진610.결과7첨부1.HD74 ... LS47P DATA SHEET82.FND507 DATA SHEET93.Artwork - Printed On OHP10- -1. 명제▶ BCD TO 7-SEGMENT DECODER
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.21
  • [디지털시계] ★디지털시계 제작★목적,부품★회로구현방법★
    초 스위치-7- (2) 클럭 부분-8- (3) 스위치 부분 3to8 디코더 2to4 디코더 스위치-9- (4) 시계 초 부분 7 세그먼트 세그먼트 디코더 BCD 디코더-10 ... 을 한다 . ◊ 회로의 문제점을 파악하고 , 이를 해결한다 .-3- 2. 제작할 디지털 시계 ◊ 오실레이터 1MHz 를 이용한 안정한 클럭 생성 ◊ 6 개의 세그먼트로 시 , 분 ... ) 4511 ( 세그멘트 디코더 ) 78T05 ( 전원장치 ) HEF4518BP(BCD 카운터 ) 5101CSR( 세그먼트 )-5- 3. 필요한 중 요 부품 74HC138 (3to8
    리포트 | 23페이지 | 1,500원 | 등록일 2011.12.18
  • VHDL 계산기 소스코드 및 보고서
    하기 빼기가 가능한 Calculator를 만드는것이 이번실험의 주 목적이다.2. Background위의 회로가 이번실험에 사용하게될 회로로써 가장 왼쪽 7세그먼트 아랫부분에 있는것 ... ;LCD_A : out STD_LOGIC_VECTOR (1 downto 0);LCD_EN : out STD_LOGIC;LCD_D : out STD_LOGIC_VECTOR (7 ... ;LCD_D : OUT std_logic_vector (7 downto 0);data_out : in std_logic;addr : in std_logic_vector(4
    리포트 | 16페이지 | 4,000원 | 등록일 2010.07.09
  • 어셈블리어 1장 연습문제
    .(a) 7 1 답: 111(b) 15 답: 1111(c) 25 답: 11001(d) 28 답: 11100(e) 33 답: 1000011.5 다음 8비트 2진수를 더하라.(a ... ) 01111111 = 127 01010101 = 85 11010100 = 2121.7 다음 2진수에 대한 2의 보수를 구하라.(a) 00110110 --(1의보수)--> 11001001 --( ... = 119, -53 + 119 = 661.10 다음 값들을 16진수로 표현하라.(a) ASCII 문자 R 답: 52(b) ASCII 숫자 7 답: 37(c) 2진수 01110101 답
    리포트 | 4페이지 | 1,000원 | 등록일 2012.02.15
  • 현대자동차 분석 PPT
    캐나다 퀘백에 첫 해외 공장 설립 북미 시장 일시적 위축 일본업체들의 생산 증가로 공급과잉 D 세그먼트는 경쟁이 치열 인센티브와 광고비증가 수익성악화철수품질경영시스템 6 신차 품질 ... 었다 .이후의 현대 7 96 년 남양 연구소 준공 ‘ 티뷰론 ’ ‘ 다이너스티 ’ 97 년 입실론 엔진 독자 개발 터키 공장 준공 ‘ 아토스 ’ 98 년 경량 고성능 V6 델타엔진 ... 연구개발비 비중 2.1 % BMW 6.3%, 폭스바겐 5.8%, 도요타 3.7 % 현재 EM 의 공급과잉으로 인해 모든 글로벌 기업들이 현재 점유율 유지를 위해 R D 에 신경을 못
    리포트 | 32페이지 | 3,300원 | 등록일 2017.03.27 | 수정일 2018.10.01
  • 판매자 표지 자료 표지
    TCP와 UDP의 차이점, 브리지(Bridge)에대한 이해
    1.Bridge와 OSI 7계층2.TCP, UDP의 차이과 목 명 :담당교수 :학 과 :학 번 :이 름 :제 출 일 :1.브리지(Bridge)와 OSI 7계층①브리지(Bridge ... 에 저장이되어있을때, 목적지를 갈 때 다리를 건너도록 해줌- Filtering : 브리지가 받은 프레임의 도착지 MAC주소와 출발지 MAC주소가 같은세그먼트 상에 있을 때 다리
    리포트 | 4페이지 | 1,000원 | 등록일 2016.06.27
  • 실험4 프로젝트 보고서 ( 소스해석 등등) 직접 작성 마이크로컨트롤러 마이크로프로세서 타이머 시계
    ) AT89C51 × 1 : 8051호환 마이크로프로세서2) 7-Segment (Common Anode type) × 63) 74LS47 × 6 : Binary to 7-Segment ... × 39) 4.7kΩ 저항 × 1010) 1μF 전해질커패시터 × 311) 33pF 세라믹커패시터 × 212) 7805 regulator × 113) crytal 12.0MHz ... . 구성 idea- 기본적으로 시계의 기능을 수행해야 하므로, 시/분/초를 담당하는 7-Segment 6개를 사용한다.- 각 7-Segment들의 출력을 담당하는 7447
    리포트 | 19페이지 | 3,000원 | 등록일 2014.12.14
  • '1초안에 떠오르는 글로벌 브랜드' 요약
    .가) 브랜드가 경쟁하고 비교되는 시장 프레임을 정확하게 인식하는 것나) 경쟁 브랜드와 차별화될 수 있는 핵심적인 장점을 극대화하는 것다) 타깃 세그먼트에 전략적으로 초점을 맞추 ... 질문에 사용된다.ex) 브랜드 BMW에 대해 각 항목별로 7점 만점으로 점수 기록 요청(성능, 가치, 스타일 등)- 가정 평균 모델이 활용 됨. 성능은 7점, 가치는 5점 등
    리포트 | 7페이지 | 1,500원 | 등록일 2017.11.19 | 수정일 2017.11.20
  • 디지털공학실험 10/e 19장 결과보고서 입니다. 저자 David.M.Buchla
    연결한다.??⇒ 111?010?001?110이 주 시퀀스로 반복됨을 확인하였다.그림 19-5는 좀 특이한 회로인데, 일반적인 방법과 달리 출력이 직접 7-세그먼트로 연결되어있다. 7 ... -세그먼트 디스플레이에 나타나는 문자 시퀀스를 생각해 보아라. 한 가지 단서는 7-세그먼트에 표시되는 문자가 탐정 작업과 관계된 영어단어라는 것이다. 잘 모르겠다면 직접 회로 ... 상태에 대해 설명하라. 사용되지 않는 상태에 대해 제대로 검토가 되었다면, 모든 사용되지 않는 상태들이 상태 2로 복귀한다는 것을 알게 될 것이다.상태도를 그려라.7) 펄스 발생
    리포트 | 5페이지 | 1,500원 | 등록일 2013.02.21
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 20일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:47 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감