• 통합검색(2,265)
  • 리포트(2,067)
  • 시험자료(105)
  • 자기소개서(42)
  • 방송통신대(31)
  • 논문(14)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"7세그먼트" 검색결과 61-80 / 2,265건

  • 7-세그먼트 LED 디코더 표시회로
    REPORT7세그먼트LED디코더와 표시회로결과보고서▣실험제목- 7-세그먼트 LED 디코더와 표시회로▣실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론 ... 면 인코더에서 해당 코드가 발생하는데, 이 코드는 다시 표시소자 디코더를 거쳐 숫자 표시기를 구동한다.2) 7-세그먼트 LED 표시소자그림 14-2(a)는 7-세그먼터 LED 표시 ... 소자를 나타낸 것으로, a~g를 세그먼트라 한다. 7-세그먼트 LED 표시소자에는 그림 14-2(b), (c)와 같이 애노드 공통형(CA)과 캐소드 공통형(CC)이 있다. CA
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.01
  • 디지털공학(논리회로)-7세그먼트 설계
    디지털공학 설계과제7-Segment Indicator과 목 명 :이 름 :학 번 :교 수 :제 출 일 :1. 분석7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시 ... 어 있다. 여기서 막대 모양의 LED 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2. 설계1) 진리표 작성입력(BCD ... x xx x x x x x xx x x x x x xx x x x x x x위 그림에 따라 입력이 A, B, C, D인 7-세그먼트 표시기의 진리표는 다음과 같다.이 진리표에 따라
    리포트 | 8페이지 | 3,000원 | 등록일 2009.12.06 | 수정일 2022.12.12
  • 전자회로실험및설계 : 7-segment (7세그먼트) 예비보고서
    7-segment 예비보고서1) 7-segment란?7-segment는 간단한 디스플레이의 일종으로 7개의 LED로 10진수 아라비아 숫자들을 표시할 수 있게 만든 소자이 ... 다. 실제 7-segment는 dot가 포함되어 8개의 LED를 사용하고 있다. 7-segment는 일찍이 1908년에 특허가 나타났지만, 1970년대에 LED가 쓰이면서 널리 사용 ... 되기 시작했다. 7-segment는 디지털 시계나 디지털 멀티미터 등 숫자 정보를 표시하는 데 사용되고 있다. 또한 7-segment는 FND라고도 부르는데, FND는 Flexible
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.28
  • [회로이론]7세그먼트
    7세그먼트 표시기 및 디코더5-목 적- 7세그먼트 표시기의 동작 이해 및 BCD-to-7세그먼트 디코더 회로 설계 학습1 7세그먼트 표시기7세그먼트 표시기라고 하는 소자는 0 ... 에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 그림 5-1과 같은 모양을 가지고 있다. 그림에서 알 수 있듯이 7세그먼트 표시기는 막대모양의 LED(Light Emitted ... Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고
    리포트 | 10페이지 | 2,500원 | 등록일 2005.05.01
  • 7세그먼트 표시기 및 디코더
    7세그먼트 표시기 및 디코더5-목 적- 7세그먼트 표시기의 동작 이해 및 BCD-to-7세그먼트 디코더 회로 설계 학습1. 7세그먼트 표시기7세그먼트 표시기라고 하는 소자는 0 ... 에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 그림 5-1과 같은 모양을 가지고 있다. 그림에서 알 수 있듯이 7세그먼트 표시기는 막대모양의 LED(Light Emitted ... Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment
    리포트 | 10페이지 | 1,500원 | 등록일 2007.09.03
  • Verilog HDL을 이용한 7-세그먼트디코더와 시프트 레지스터
    디지털회로개론실험 예비보고서HDL을 이용한 설계7-세그먼트 디코더시프트 레지스터0. 실험목적7-세그먼트와 시프트 레지스터를 HDL을 이용하여 설계해봄으로써, Verilog HDL ... 을 사용한 회로 설계 방법과 Quartus Ⅱ의 사용법을 익힌다.1. 이론. 7-세그먼트 디코더0) VHDL로 표현library Ieee;use ieee.std_logic_1164 ... downto 0);seg_out : out std_logic_vector(7 downto 0));end seg_decoder;architecture logic of seg
    리포트 | 3페이지 | 1,500원 | 등록일 2010.06.18
  • 전자회로실험및설계 : 8051 MCU를 이용한 7-segment (7세그먼트) 제어
    7-segment 결과보고서7-segment는 숫자 모양으로 LED를 배치시킨 소자이다. LED는 단자가 애노드와 캐소드라는 두 단자를 가지고, 7-segment는 dot를 포함 ... 하여 LED가 총 8개 있기 때문에 7-segment를 제어하려면 16개의 핀이 필요하다. 하지만 LED들의 애노드나 캐소드 중 하나를 묶어서 사용하여도 묶지 않은 단자를 통해 ... 각각의 LED를 제어할 수 있기 때문에 총 9개의 핀으로 7-segment를 제어할 수 있다. 7-segment의 애노드들을 하나로 묶으면 애노드 공통, 캐소드들을 묶으면 캐소드
    리포트 | 9페이지 | 1,000원 | 등록일 2011.12.28
  • 기초전자회로실험 예비레포트 7세그먼트 7-Segment
    ://www.ktechno.co.kr/pictech/pic13.html1. 실험 목적? 2진수의 10진수로의 변환을 이해? 디코더를 이용하여 7-세그먼트에 디스플레이하는 회로 구성2. 실험 ... 97-Segment7세그먼트 발광 다이오드란?7세그먼트 발광 다이오드는 아랫 그림에 나타낸 바와 같은 배열로 발광 다이오드가 나열되어 있으며, a~g까지의 각 발광 다이오드 중 ... 다.이 "a~g"까지의 발광 다이오드를 세그먼트라 부르며, 7개의 세그먼트가 있기 때문에 7세그먼트 발광 다이오드라 부르고 있다.실제로 점등시키기 위해서는 common 단자에 마이너스
    리포트 | 5페이지 | 1,000원 | 등록일 2009.09.18
  • [디지털회로실험] (실험5) 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 / (실험6) 7-세그먼트 디코더
    -(3) 74147을 이용하여 10개의 입력을 BCD코드로 변환하는 엔코더? 회로도? 시뮬레이션 결과실험 6-(1) 7-세그먼트 디코더 회로의 동작 확인? 회로도? 시뮬레이션 결과
    리포트 | 3페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • [디지털회로]7세그먼트 실험결과보고서
    7-Segment 결과보고서K-MAPCDAB11111××××11××CDAB111111××××11××a = B`D`+BD+CD b = A`D`+C`D`+CDCDAB111
    리포트 | 3페이지 | 1,000원 | 등록일 2008.12.08
  • 7-세그먼트 디코더 디지털회로실험 예비보고서
    디지털회로실험 사전보고서-Lesson 6 7-세그먼트 디코더1. 7-세그먼트 디코더 회로 동작 확인7-세그먼트 디코더 datasheet- 7-세그먼트는 0부터 9까지의 숫자 또는 ... 간단한 문자를 표시하기 위해 7개의 LED로 구성되어 있는 디스플레이 소자이다. 아래그림에서 알 수 있듯이 7-세그먼트 표시기는 막대모양의 LED 7개를 8자 모양으로 배열 ... 시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 막대 모양의 LED 각각을 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.23
  • led와 7-세그먼트(결과) 인코더/디코더 코드 변화기, 멀티플렉서와 디멀티프렉서 (예비)
    -세그먼트(LED & 7-Segment)- 실험의 주요 주제1. 7-세그먼트 LED의 특성을 실험을 통해 확인한다.2. 2진화 십진수를 7-세그먼트 LED로 표시하기 위한 방법을 배운다 ... 되어 있다. 전원을 연결하면 n형 반도체 측에서 전자와 정공(홀)을 만나 소멸하고, 그러면 빛에너지가 발생하는데 이 빛이 밖으로 나온다.2) 7-Segment 표시기7-세그먼트 표시 ... 다.- 7-세그먼트 -3) BCD 7-세그먼트 디코더/드라이버BCD(Binary Code Decimal) 7-세그먼트 디코더/드라이버는 4비트 BCD 입력을 받은 후 적절
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.20
  • 마프응용실험-7세그먼트결과+예비레포트
    1. 7segment 란?7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫 자를 표시하기 위해 만들어진 소자로 7세그먼트 표시기는 막대모양의 LED(Light Emitted ... Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 막대 모양의 LED 하나 하나를 세그먼트 (segment ... )라고 하며 LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2. 7segment 의 종류7세그먼트 표시기는 애노드 공통형(common-anode type)과 캐소드
    리포트 | 8페이지 | 1,000원 | 등록일 2009.12.19 | 수정일 2016.08.27
  • 7-세그먼트(FND)에 0~9 까지와 알파벳을 표시하는 방식
    1) 다음은 7-세그먼트(FND)에 0~9 까지와 알파벳을 표시하는 방식이다.이중에서 대문자 C[0x43]에서 H[0x48]까지의 ASCII값이 들어오면 7-세그먼트에 표시 ... g11010111107h1110110111A.L=SIGMA (0,4,5,6) => b'c'+ab'+ac'B.L=SIGMA (0,3,7) => a'b'c'+bcC.L=SIGMA (0 ... ,1,3,6,7) => a'b'+bc+abD.L=SIGMA (1,2,3,4,6) => a'c+bc'+ac'E.L=SIGMA (0,1,2,3,4,5,6,7) => 1F.L=SIGMA
    리포트 | 2페이지 | 1,000원 | 등록일 2009.12.25
  • Max+ 7 세그먼트 디코더 ( 7 Segment decoder) 설계
    library ieee;use ieee.std_logic_1164.all;--===============================================[Library]entity ud_decoder4_10Vector isport( Ain : in s..
    리포트 | 5페이지 | 1,000원 | 등록일 2007.03.29
  • 판매자 표지 자료 표지
    시뮬레이션pspice (NOR AMD 게이트, 7-세그먼트,4비트 병렬가산기, 두자리 BCD 가산기, 2진 하진 DA 변환기, ADC0804를 이용한 AD 변환), Timer 소자 회로 실험, 두자리 BCD 가산기
    + 28이지만 3과 2를 더하고 5와 8을 더해서 각각의 자리 수를 7446A (7세그먼트)로 표현한다. AND 게이트와 OR 게이트를 사용하여 캐리가 발생하였을 때 캐리를 올려 ... 이 잘못되어서 안 켜진 것인지 알 수 없었습니다. 전압을 주면 빨간불이 들어왔으며 V의 값에 따라 7-세그먼트의 숫자 값이 달라졌습니다.-고찰 : 예비 보고서에서는 7483 소자 ... (7)1100(12)0100(4)1③ 0110(6)0011(3)1010(10)0①②③① 35 + 28 = 63이 나오는지 확인하라② IC들과 회로들이 어떻게 동작하는지 설명하라35
    리포트 | 9페이지 | 2,000원 | 등록일 2019.06.23
  • 디지털회로 - 7-세그먼트 제어기 결과
    5. 7-세그먼트 제어기제출일실험조조 원-결과 보고서-1.실험내용 및 분석이번 실험의 첫 번째 내용은 D flip flop, Decoder, Segment를 이용해 0~7 ... 까지 카운터한다. 이번 실험은 7-세그먼트의 동작을 확인하고, 카운터 또한 0~7까지 카운터하므로 7447의 23(8)입력은 그라운드에 연결하여 0의 입력을 주었다. 7447의 입출력 ... Voltage Level // L : LOW Voltage Level )? 위 결과는 아래와 같이 세그먼트에 표시되어 카운터에 의해 순서대로 0~7까지 카운터된다.0 1 2 3 4 5
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.08
  • 디지털회로 - 7-세그먼트 제어기 사전
    5. 7-세그먼트 제어기제출일실험조이름-사전 보고서-? 실험목적7-세그먼트의 구조 및 동작 개념을 이해하고, 7447 7-세그먼트 구동 디코더의 구조 및 사용방법을 확인한 후 ... 회로 구성 및 그 동작을 확인해 본다.? 이론1. 7-세그먼트? 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 아래와 같은 모양을 가지고 있 ... 다. 그림에서 알 수 있듯이 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.08
  • 4. 디코더,인코더 및 다중화기 역다중화기 7세그먼트 디코더
    년도?학기2011년 1학기과목명디지탈논리회로실험LAB번호실험 제목4디코더/인코더 및 다중화기 역자중화기, 7세그먼트 디코더실험 일자제출자 이름제출자 학번팀원 이름팀원 학번 ... 으면 그것에 대응해서 숫자를 나타내도록 점등하는 것을 7-세그먼트라 한다.7-세그먼트의 종류로는 애노드(anode) 공통형과 캐소드(cathode) 공통형이 있는데 전자는 입력으로 Low ... 단자에만 “1”이라는 신호를 주면 5=2²+20=4+1로 2²와 20를 나타내는 2개의 출력 단자에 “1”출력을 발생한다. 7을 입력하면 2²와 2¹와 20 등 3군데에 출력
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.08
  • 게이트(gate)와 7세그먼트(segment) 전기적소자의 특성과 실험 결과
    □ 7-Segment 실험5월 11일 실험수업이 끝난 후 제2공학관 310호 실험실을 빌려서 실험을 하였다. 먼저 7세그먼트 실험을 했는데 데이터 시트를 찾을 수가 없어서 각 ... 부분에 하나하나 연결을 하면서 Vcc와 GND를 찾고, abcdefg를 찾아 실험을 하였고 저항은 100Ω 짜리를 사용했다.-모두on시켰을 때- -9--8- -7--6- -5--4 ... 이 안보이는 상태--약 1.5V부터 아예LED가 꺼짐을 볼 수 있다.-□ 결 론처음에는 전압만 인가하면 되는 줄 알고 무턱대고 전압을 인가하다가 실수로 7Segment하나를 태워먹
    리포트 | 7페이지 | 1,000원 | 등록일 2008.07.24
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 14일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감