• 통합검색(72)
  • 리포트(56)
  • 자기소개서(15)
  • 논문(1)

연관검색어

판매자 표지는 다운로드시 포함되지 않습니다.

"verilog디지털시계" 검색결과 41-60 / 72건

  • 디지털시계
    소개글디지털 시계verilog rtl 레벨로 코딩해보았습니다.목차watch (최상위 Top rtl 레벨 소스)Controller (rtl 레벨소스)Mod_60 (rtl 레벨
    리포트 | 7페이지 | 3,000원 | 등록일 2012.08.05
  • 디지털 시계 설계 발표자료
    디지털 시계 설계목 차1. 서론 및 설계목표 2. 설계계획 3. 설계과정 4. 작동시범 5. 결과 및 고찰 6. 참고문헌*1. 서론 및 설계 목표Altera사의 Excalibur ... 칩을 사용한 SoC설계및 검증 도구인 Huins사 SoC Master에 알맞은 시계verilog코드 작성에서부터 실제 구현까지 직접해봄으로서 SoC 설계 과정에 대해 전반적인
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.29
  • HDL_결과보고서
    HDL 디지털 시계 만들기한국외국어 대학교전자공학과- 프로젝트 진행 순서시계 + 알람 + 스톱워치 -> 탑모듈(미완성)* 모든 모듈에서 clk은 1/100초의 주기로 주었는데 이 ... 었습니다.시간을 나타내는 변수(Hour, Har)가 일치하지 않아서 모든 모듈에 시간 변수를 Hour_10, Hour_01로 통일하였습니다.1. 시계 회로 코드- 이 소스에서 변경 ... 면 1초가 증가하도록 하였습니다.)3) am_pm값의 내용 변경(spec에는 am_pm이 나타내는 값이 1:오전, 0:오후 로 되어 있었는데 Setting_C가 1일 때 시계가 이전
    리포트 | 18페이지 | 1,500원 | 등록일 2011.04.13
  • 전전컴실험Ⅱ 06반 제15주 Project [최종보고서] 전자시계, digital watch
    디지털 시계 및 추가 기능을 구현 하는 것이 목표였다. rs, rw, 8bit data가 가지는 의미를 잘 이해하고, 명령어와 data입력을 확장시켜 시계를 구현 할 수 있 ... 다. 시, 분, 초를 각각의 counter를 통해 구현 해 낼 수 있었다. 또 수정도 가능했으며, 24시간으로 나타낼 수도 있었다. 추가 기능은 알람과 세계시계를 선택했으며, 성공하지 ... 못했다.1. 구현 내용 및 Block-diagram과 동작 개요도가. 구현 내용-기본 시계 기능 : 자정 12시부터 낮 12시까지(am) 또 낮 12시부터 밤 12시까지(pm
    리포트 | 8페이지 | 1,000원 | 등록일 2013.09.09
  • 디지털논리 VerilogHDL Project 결과보고서
    1. clk카운트module clock_sec(clk, rst, real_A, enable_10sec); input clk, rst; output [16:0] real_A; output enable_10sec; reg [16:0] real_A; reg enabl..
    리포트 | 10페이지 | 3,000원 | 등록일 2010.06.29
  • [디지털회로]verliog HDL을 통한 디지털 시계구현 PPT
    디지털시계 구현1. 블록도(block diagram)2. clk_dividermodule clk_divider ( in_clk ,out_clk ); input in_clk
    리포트 | 8페이지 | 1,500원 | 등록일 2008.12.13
  • 전전컴실험Ⅱ 06반 제15주 Project [최종보고서] 전자시계, digital watch
    디지털 시계 및 추가 기능을 구현 하는 것이 목표였다. rs, rw, 8bit data가 가지는 의미를 잘 이해하고, 명령어와 data입력을 확장시켜 시계를 구현 할 수 있다. 시 ... , 분, 초를 각각의 counter를 통해 구현 해 낼 수 있었다. 또 수정도 가능했으며, 24시간으로 나타낼 수도 있었다. 추가 기능은 알람과 세계시계를 선택했으며, 성공하지 못했 ... 다.1. 구현 내용 및 Block-diagram과 동작 개요도가. 구현 내용-기본 시계 기능 : 자정 12시부터 낮 12시까지(am) 또 낮 12시부터 밤 12시까지(pm) 출력
    리포트 | 8페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 7-Segment 를 이용한 디지털 시계(디지털논리회로프로젝트)
    1. Purpose - Training Kit에서 지원하는 1 MHz 수정발진기의 출력 및 7-Segment를 사용한 디지털 시계의 설계. • 설계 사양① Reset 시 ... - 기본적으로 Chapter.15에서 만들었던 Counter를 이용하여 디지털시계에 대한 접근을 하였다. 실험 시간에 7-Segment와 1 MHz 수정발진기를 이용하여 1초 ... 되어 입력된 숫자에 따라 Display이가 되도록 구성되어 있다. 아래는 위 카운터에서 사용하는 JK F/F과 7-Segment에 대한 Verilog HDL code를 나타내었다. 아래 code는 이미 이전 실험에서 사용하였던 것이기 때문에 주석은 생략하도록 하겠다.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.05.23
  • 디지털시계 사전보고서
    디지털실험사전보고서시계?시계1)이론타이머는 설정한 시간이 되면 신호를 출력하는 것이다. 타이머를 구현하기 위하여 입력으로 Clk_1M, Stop_run, Sw_min, 그리고 ... 하고, 1일 때 설정한 시간에서 시간을 줄여 나간다. 설정한 시간이 0이 되면 Led_out 신호로 1을 출력한다.?Verilog HDL1) 타이머 1module Timer (Clk_1
    리포트 | 4페이지 | 1,000원 | 등록일 2009.06.28 | 수정일 2018.02.19
  • clock & stopwatch 프로그램 소스 및 파형분석(verilog 를 이용한)
    1. clock그냥 일반적인 전자시계의 모듈로써 가장 간단하고 기본적인 시계이다. 실제 시간과 똑같이 설계 하였다.∎ watch_clock (기본적인 시계
    리포트 | 21페이지 | 2,500원 | 등록일 2010.06.28
  • [디지털논리회로] StopWatch verilog로 설계하기
    [디지털논리회로실험 기말프로젝트]Stopwatch VHDL로 만들기(due date:)1. Verilog HDL 소스 및 주석module StopWatch(led1, led2
    리포트 | 8페이지 | 2,000원 | 등록일 2008.12.07
  • 디지털시계와 stop watch
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use ... IEEE.STD_LOGIC_UNSIGNED.ALL;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic ... ;push1 : in std_logic;push2 : in std_logic;push3 : in std_logic;digit : out std_logic_vector(1 to 6);s
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.18
  • LG 이노텍 2015 상반기 합격 자소서
    수업들을 수강하였습니다. 그 중 한 수업에서 Quartus라는 개발 Tool을 사용하여 프로젝트를 진행했습니다. 개발Tool에 대한 수업은 간단한 동작만 배웠고, 디지털시계 ... 의 알고리즘을 만들어야 했습니다. Verilog언어를 익히기 위해 도서관에서 관련 서적들을 찾아보았고, 블로그에 있는 자료들을 찾아보며 독학하였습니다. 많은 고생 끝에 결국 프로젝트
    자기소개서 | 2페이지 | 3,000원 | 등록일 2015.12.13
  • [디지털시계] ★디지털시계 제작★목적,부품★회로구현방법★
    을 한다 . ◊ 회로의 문제점을 파악하고 , 이를 해결한다 .-3- 2. 제작할 디지털 시계 ◊ 오실레이터 1MHz 를 이용한 안정한 클럭 생성 ◊ 6 개의 세그먼트로 시 , 분 ... Digital Clock 제작 -1--2- 1. 제작 목적 ◊ 기본 IC 소자 및 제작에 필요한 소자들 이해한다 . ◊ 지금까지 학습한 내용을 이해하여 직접 회로구현 및 제작 ... 초 스위치-7- (2) 클럭 부분-8- (3) 스위치 부분 3to8 디코더 2to4 디코더 스위치-9- (4) 시계 초 부분 7 세그먼트 세그먼트 디코더 BCD 디코더-10
    리포트 | 23페이지 | 1,500원 | 등록일 2011.12.18
  • Project_Spec_Ver3
    ~57Sec_014초의 1단위를 나타내는 변수0~9입력포트번호이름비트수설명비고1Setting_C1시간을 설정할 수 있게 하여주는 입력포트1: 시계를 멈추고 시간설정0: 시계동작2 ... : Min_01, 5: Sec_106: Sec_01예제의 변수는 시계를 기준으로 한것으로써 알람시간변수에 맞추어 수정하여야 한다.)11On_Off1알람을 끄고 킬 수 있도록 하 ... 가 일시정지일 경우 정지모드의 입력이 들어온다면 정지모드로 변경된다.4. Top출력포트번호이름비트수설명연결1Out_040~9를 표시할 수 있는 변수시계: am_pm스톱워치: Har
    리포트 | 6페이지 | 1,000원 | 등록일 2011.04.13
  • [9주차] DIGITAL CLOCK
    과 목 : 논리회로설계실험과 제 명 : Digital Clock 설계담당교수 : 정일섭 교수님학 과 : 전자전기공학과학 년 : 3학년이 름 :제 출 일 :논리회로설계실험 ... - Digital Clock7조 ( 유광위 / 박석호 )0. PurposeFPGA회로 설계 검증용 장비인 RoV-Lab을 이용하여, 기본적인 DIGITAL CLOCK을 구현한다. 이 ... 를 위해, DIGIT 표시방식인 SEGMENT와 FPGA 보드에서 나오는 4Mhz의 오실레이터clock의 분주를 이해하고 Xilinx tool과 RoV-Lab의 연결을 통해 실제로 구현
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.30
  • 디지털 시계 회로 분석 ppt
    9 조 4 Digit Clock( 디지털 시계회로 ) 20083304 전현배 20093373 장현영 20093377 송동환. 1 2 3 4 회로도 분석 목차 각 파트별 설명 각
    리포트 | 11페이지 | 1,500원 | 등록일 2012.12.01
  • [Verilog 언어] 기본시계 소스코드입니다.
    1. 소 스module timer(Mili_Low, Mili_High,Sec_Low, Sec_High, Min_Low, Min_High, Hour_Low, Hour_High, CLK); output [3:0] Mili_Low, Mili_High, Sec_Low, Se..
    리포트 | 2페이지 | 2,500원 | 등록일 2009.01.14
  • Verilog(베릴로그) 이용한 시계 설계
    자료상세정보http://piecepuzzler.wordpress.com/2010/11/08/verilog%eb%b2%a0%eb%a6%b4%eb%a1%9c%ea%b7%b8-%ec ... %9d%b4%ec%9a%a9%ed%95%9c-%ec%8b%9c%ea%b3%84-%ec%84%a4%ea%b3%84/베릴로그를 이용하여 설계한 시계 입니다.프로그래밍 자료는 받아도 사용 ... 를 하자면,시계 기능 + 알람 기능이 있습니다. 알람시간이 되면 10초동안 LED 가 ON 됩니다.Push 버튼을 이용 하여 Mode 를 변경 할 수 있습니다.총 6 가지 Mode
    리포트 | 5,000원 | 등록일 2009.12.26
  • 디지털전자시계 보고서
    1. 서론디지털 논리 회로 프로젝트에서 기말 시험을 대신하여 Project를 진행 했다. 나는 디지털시계를 만들기 했다.디지털시계를 만들기 위해서는 1초가 필요하다 1초가 있 ... 음으로 1분과 1시가 존재하기 때문이다. 1초를 만드는 방법은 간단히 Function generator로 1Hz를 만들면 되지만, 지금 만들고자 하는 디지털시계는 전원만 가하면 되 ... 는 시계인 것이다.10M Hz의 입력을 받는다고 생각을 하자. 1초로 만들기 위해서는 1/10M로 나눠야 한다. 이 부분을 Clock divider 라 한다. 1초 만 생성이 된다면
    리포트 | 14페이지 | 1,000원 | 등록일 2007.10.09
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 30일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감