• 통큰쿠폰이벤트-통합
  • 통합검색(2,925)
  • 리포트(2,318)
  • 논문(479)
  • 시험자료(92)
  • 자기소개서(16)
  • 방송통신대(15)
  • ppt테마(3)
  • 서식(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"Decoder" 검색결과 501-520 / 2,925건

  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 11장 7segment와 Decoder 회로 설계
    아날로그 및 디지털 회로 설계 실습예비 보고서실습 11. 7-segment / Decoder 회로 설계조7조제출일2016-11-24학번, 이름11-1. 실습목적7-segment ... 와 Decoder를 이해하고 관련 회로를 설계한다.11-2. 실습 준비물부품Resistor 330Ω8개Decoder 74HC471개Inverter 74HC044개7-segment1개 ... switch4개사용장비오실로스코프1대브레드보드1개파워서플라이1대함수발생기1대점퍼선다수11-3. 설계실습 계획서11-3-1 7-segment/Decoder 진리표아래 7-s
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 논리회로 실험 ALU,DECODER,7-SEGMENT 입니다.
    논리회로 실험 레포트1.SN74LS47N (BCD TO 7-SEGMENT DECODER-DRIVER)2.SN74LS382N (ARITHMETIC LOGIC UNITS)ALU3.7 ... -SEGMENT (WCN-0056SR-A11R)4.IN74LS138N (Decoder/Demultiplexer)실험자 : 전우성 (32052117)SN74LS47N (BCD TO ... 7-SEGMENT DECODER-DRIVER)왼쪽의 그림은 7 - SEGMENT DECODER - DRIVER의 핀 배치도이다. 왼쪽의 IC는 74LS47이라고 하며 7
    리포트 | 6페이지 | 2,000원 | 등록일 2007.09.28
  • VHDL코드를 이용한 해밍코드decoder, 오류검출및 정정
    \l "이론및프리랩" 이론 및 프리랩실험 목적이번 실험에서는 xilinx프로그램을 통해 해밍코드 decoder를 구현하고, 이를 실험으로 검증하는 것이다.실험 도구personal ... briefly2. Hamming code decoder 의 VHDL 코드 작성describe its input output signalsInput: 7 bits Output: 4 ... 의 입력 중에서 바로 r0~r3부분이 Hamming code의 최상위에서부터 4비트를 의미한다. 이 부분의 오류를 검출하고 수정하는 것이 Hamming code decoder 실험
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.20
  • VHDL-Pre lab - Decoder and Encoder!! (A+리포트 보장)
    Lecture 6Decoder and Encoder==================Contents===============Pre Report-------------------- ... ---------------------------1. 실험 목적2. 실험 이론지식 Decoder and Encoder3. 8x3 encoder 의 VHDL 코드 작성design ... decoder 의 VHDL 코드 작성design it with dataflow modeldescribe its input output signalsdescribe its
    리포트 | 12페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL-Post lab - Decoder and Encoder!! (A+리포트 보장)
    Lecture 6Decoder and Encoder==================Contents===============Pre Report-------------------- ... ---------------------------1. 실험 목적2. 실험 이론지식 Decoder and Encoder3. 8x3 encoder 의 VHDL 코드 작성design ... decoder 의 VHDL 코드 작성design it with dataflow modeldescribe its input output signalsdescribe its
    리포트 | 8페이지 | 1,500원 | 등록일 2009.06.29
  • [디지털시스템실험(Verilog)] Address Generator, Branch Handler, PC Calculation Unit을 위한 Decoder 결과보고서
    - Address Generator, Branch Handler, PC Calculation Unit을 위한 Decoder실험목표① PICO Processor - Execution Top ... 의 각 모듈을 위한 Decoder를 구현한다.실험결과① Address Generator Decoder의 시뮬레이션 결과Address Generator Decoder의 시뮬레이션 ... Unit Decoder의 시뮬레이션 결과Branch Handler / PC Calculation Unit Decoder의 시뮬레이션 결과는 다음과 같다.Wave form은 위
    리포트 | 5페이지 | 2,000원 | 등록일 2011.10.05
  • [디지털시스템실험(Verilog)] Address Generator, Branch Handler, PC Calculation Unit을 위한 Decoder 예비보고서
    Decoder실험목표① PICO Processor - Execution Top의 각 모듈을 위한 Decoder를 구현한다.실험준비물ModelSim(HDL Simulator)기본지식 ... opcode를 4부분으로 나누어 정리하였고, 하위 4bit는 편의를 위해 decimal로 나타내었다.이 decoder에서 고려되어야 할 opcode는 opcode[6:5]가 모두 ... ,10,14pc_poff11pc_offopcode를 4부분으로 나누어 정리하였고, 하위 4bit는 편의를 위해 decimal로 나타내었다.이 decoder에서 고려되어야 할
    리포트 | 4페이지 | 1,500원 | 등록일 2011.10.05
  • 디지털시스템 실험(decoder, Binary to BCD converter), 2-to-4, 3-to-8 라인디코더+testbench포함
    Combinational Circuit① Decoder 설계② Binary-to-BCD Convertor 설계실험목표① 2-to-4, 3-to-8 라인 디코더를 설계한다.② Binary-to ... . BCD-to-7segment code를 FPGA보드에 연결하여 BCD를 변화시켜 7segment에 원하는 변화가 생기는지 체크하였다.토의이번 실험은 2-to-4 decoder ... , 3-to-8 decoder, Binary to BCD converter, 그리고 BCD to 7segment decoder를 설계하고 FPGA 보드에 연결하여 7-segment
    리포트 | 7페이지 | 2,000원 | 등록일 2014.11.03 | 수정일 2018.05.16
  • Decoding & Recoding - 디코딩- 미래파, 알바알토, 미스반데로에
    Decoding & Recoding-미래파, 알바알토, 미스반데로에INDEXI. 우리가 속한 시대와 역사적 흐름a. 삶의 일부로서의 역사b. 건축에 있어서의 새로운 가능성의 발견 ... 의 성향에 따라 과거를 변형시킬 수밖에 없는 것이다. 이것이 곧 사회적 흐름을 부정하고 분열하는 행동을 말하는 디코딩(decoding)이라고 정의할 수 있다. 이렇게 인간 활동의 전
    리포트 | 11페이지 | 3,000원 | 등록일 2010.11.04
  • VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    전자전기컴퓨터설계실험IIIPOSTLAB REPORT[Decoder and Encoder]학 과전자전기컴퓨터공학부담당교수김영길 교수님조4 조학 번2004440044이 름노 성 호제 ... \l "behavioralsource" 2) Excess-3 Input Decoder Emulation Results HYPERLINK \l "discussion" 3. 토론 및 ... the Experiment이번 실험은 조합논리회로를 이용한 Excess-3 Input Decoder를 이해하고 설계하는 것이다. 즉, Excess-3 Input에 대하
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • C언어로 짠 허프만 encode,decode 프로그램
    // 허프만 Encoder 함수 void HuffmanEncoder(FILE *fi){FILE *fo1;int cur,b,i;unsigned int length, enc_length;fseek(fi, 0L, SEEK_END);// 읽어들인 파일의 끝으로 이동length..
    리포트 | 2,500원 | 등록일 2005.04.28 | 수정일 2014.03.28
  • Max+ 7 세그먼트 디코더 ( 7 Segment decoder) 설계
    ]entity ud_decoder4_10Vector isport( Ain : in std_logic_vector(3 downto 0); Dout : out ... std_logic_vector(9 downto 0));end ud_decoder4_10Vector;- ... -===============================================[Define Entity]architecture sample of ud_decoder4_10Vector iscomponent ud_and4 port(in1, in2, in
    리포트 | 5페이지 | 1,000원 | 등록일 2007.03.29
  • [멀티미디어] ADPCM Encoding & Decoding 알고리즘 구현(VC)
    요구 사항1.Linear PCM 데이터를 ADCPM으로 인코딩하는 프로그램을 구현하여라.-입력 데이터 : ASCII파일로 된 연속된 부호 있는 16비트의 상수값-출력 데이터 : ASCII 코드 형태로 IMA-ADPCM 인코딩된 부호있는 4비트의 상수값2.인코딩한 ADC..
    리포트 | 2,000원 | 등록일 2007.02.01
  • 실험 예비보고서 4주차 MSI/LSI 조합회로 Decoder/Multiplexer
    ◇ 복호기 (Decoder)의 기능2진수를 대표하는 일련의 입력을 얻는 논리 회로이며 그 입력 번호에 대응하는 출력만을 활성화 시킨다.디코더는 입력을 보고 어느 2진수가 현재 ... 으로 대응시키는 논리 형식.◇ 복호기, 부호기의 활용복호기(Decoder)는 컴퓨터 기억장치 시스템에 널리 쓰이는데, 컴퓨터 기억장치 시스템에서 디코더는 특정 기억 장소를 활성화하기 위해
    리포트 | 3페이지 | 1,500원 | 등록일 2008.11.08
  • [공학]VHDL - MUX, DEMUX, COMPARE, ENCODER, PARITY, DECODER
    Library ieee; use ieee.std_logic_1164.all; entity muxp is port(s : in std_logic_vector(2 downto 0); I0, I1, I2, I3..
    리포트 | 4페이지 | 1,000원 | 등록일 2006.11.04
  • 디코더의 LT, BI/RBO, BRI 핀기능의 분석과 활용 {{LT,BI/BRO,BRI의 기능, 74LS47 분석, DECODER, 74LS47 활용, 74LS48의 활용}
    '디코더의 LT, BI/RBO, BRI 핀기능의 분석과 활용' 본문 내용 中 발췌1. LT의 기능 분석LT의 기능은 Lemp test(LT)에 있으며 간단하게 핀의 기능을 확인할 수 있다.LT pin은 Low active 이므로 Low level의 전압이 가해지면 출력..
    리포트 | 8페이지 | 3,000원 | 등록일 2014.09.09 | 수정일 2017.04.12
  • [ASIC] ASIC_7-segment decoder
    < if문을 사용한 코드>library ieee;use ieee.std_logic_1164.all;// entity 선언entity DEC7 is port (BCD : in std_logic_vector(3 downto 0); // 벡터를 사용(4bit 입력)y : o..
    리포트 | 3페이지 | 1,000원 | 등록일 2004.08.18
  • 4개의 입력과 1개의 출력을 가지는 회로를 and, or, not, nand, MUX, decoder를 이용한 설계
    한 GATE와 NAND GATE만을 이용한 회로, MULTIPLEXER, DECODER 등의 4가지 방법을 이용하여 다양하게 표현한다. 논리식을 AND, OR, NOT, NAND GATE ... , MULTIPLEXER, DECODER 등을 이용하여 다양하게 표현할 수 있는 방법을 알아본다. 출력은 A, B, C, D의 4개의 버튼이 있으면 버튼을 누르는 것을 1, 누르 ... 와 같다.ABCDS(3) Decoder 회로4. 회로도4. 회로도4. 회로도4. 회로도4 to 16 Line Decoder의 간략화4. 회로도(4) Multiplexer 회로2 X
    리포트 | 20페이지 | 5,000원 | 등록일 2010.06.24
  • [디지털통신2]Matlab Design_(7,3) Linear Block Code Encoding and Decoding
    Block-Level Design Using MATLAB- (7,3) Linear Block Code Encoding and Decoding -제출일전공학번이름1 ... error patternerr_loc=syn_err_table(synInd+1,:);% Decoded outputdecoded=mod(rx_codeword+err_loc, 2 ... );% Message infodec_msg=decoded(:,n-k+1:n);% Uncoded error[num_unc tt]=biterr(undec_msg, message, 'row
    리포트 | 7페이지 | 2,000원 | 등록일 2009.01.03
  • 해밍코드 인코더 디코더 설계 및 성능 분석(hamming code encoder decoder performance analysis)
    교수님 성함Hamming code encoder/decoder design and performance analysis영문이름교수님영문 성함AbstractHamming code ... ) accompanied by the change of SNR, we used the random binary generator module, hamming encoder/decoder ... 에 알고 있던 Error Eb/No의 그래프와 비교 한다.4. 8bit의 데이터를 받는 Hamming encoder와 12bit의 Hamming code를 만드는 decoder
    리포트 | 24페이지 | 100,000원 | 등록일 2009.12.06 | 수정일 2015.01.12
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 30일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:44 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감