• AI글쓰기 2.1 업데이트
  • 통합검색(682)
  • 리포트(607)
  • 시험자료(66)
  • 자기소개서(4)
  • 방송통신대(4)
  • 서식(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"8비트 가산기" 검색결과 441-460 / 682건

  • 모션 트랙킹을 이용한 감시 시스템
    을 결정한다. 자연색상을 그대로 표현하기 위해 각각 8bit의 크기가 필요한데 R=8bit, G=8bit, B=8bit일 경우 모두2^24 = 16,772,216가지의 색상을 표현 ... 할 수사람 눈이 가장 둔감한 파랑색에 비트수를 적게 할당한다.[2]RGB Color Model8Bit RGB Color Model의 색상별 성분 값나. HSV Color Model색상 ... ···················· 수식 2-1따라서 파일 포인터를 이용해 Y, U, V 각각의 채널을 읽어온 뒤 RGB 값으로의 변환을 수행한다.변환하는 코드RGB로 변환하는 과정에서 8bit 범위를 벗
    Non-Ai HUMAN
    | 리포트 | 19페이지 | 3,000원 | 등록일 2010.12.23
  • 컴퓨터 Data & 연산의 이해
    , 5bit, 6bit로 구성되어 있다. 4bit BCD 코드는 10진수를 4개의 비트에 표현하는 것으로 각 자리에 가중값(Weight) 8, 4, 2, 1과 7, 4, 2, 1 등 ... . Boole과 Boole 대수 2. 논리 회로와 Boole 연산식 3. 반가산기(Half Adder) 데이터 구조 1. 배열(Array) 2. 포인터와 연결 리스트 3. 스택(Stack ... 'OFF(FALSE, 0, - -)'를 조합하여 자료를 표현 - 컴퓨터 내부에서 전기적 신호가 ON 또는 OFF일 때 각각의 신호를 나타내는 단위로 비트(Bit)를 사용하며, 하나
    Non-Ai HUMAN
    | 리포트 | 37페이지 | 2,500원 | 등록일 2009.02.05
  • [논실]예비10, 200820126, 안효중, 4조
    -bit 변환을 위해-1개의 비교기가 필요해 비경제적이다.● Feedback path type : Ramp type, Counter type, Successive ... , 68kΩ 1개)[4] 실험 절차① DAC회로도대로 회로를 구성한다. 여기서 OP amp의 역할은 반전 가산 증폭기로써 카운터의 digital 출력에 따라 출력 전압이 바뀌 ... 하고, 제공하며, 오류를 인정하고 수정하며, 타인의 기여를 적절히 인정한다.8. 차별 안하기: 인종, 종교, 성별, 장애, 연령, 출신국 등의 요인에 관계없이 모든 사람을 공평
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2012.02.29
  • VHDL M bit 가산기와 비교기(post lab 입니다) vhdl code
    전자전기컴퓨터설계실험IIIPOSTLAB REPORT[M bit 가산기와 비교기]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개" 1. 실 험 소 개 ... (adder)와 비교기(comparator)의 동작을 이해하고 설계하는 것이다. 또한 M bits 가산기와 비교기의 VHDL Code에 대한 실제 동작을 관찰하도록 한다.2 ... _logic;Sum_s : out std_logic_vector( 1 downto 0);Carry_s : out std_logic );end component;-- 2bit 가산기
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • 가산기 및 감산기
    을 수행할수 있는데 이를 반가산기 회로라 부른다.그림 반가산기 회로2) 전가산기 회로가산기에 입력되는 두 개의 변수가 2비트 이상일 경우에는 아래 자리에서 발생되는 올림수 까지 고려 ... 에만 1이 출력된다. 여기에서 D와b의 민텀(minterm)을 찾아 논리식으로 표시하면 다음과같다.4) 전감산기 회로감가산기에 입력되는 변수가 2비트 이상일 경우에는 아래 자리로 빌려 ... 였으나, 시행착오로 인해 복잡하게 제작하게 되었다.8. Conclusion이번 실험은 가산기 및 감산기에 대한 회로를 설계하고 검증해보는 실험이었다.첫 번째 실험은 반가산기 불 함수
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 2,000원 | 등록일 2009.05.28
  • 논리회로의 종류와 특징에 대하여 조사하세요.
    는 입력 값이 모두 1인 경우게만 1이 되고, 합은 입력 두 개중 하나만 1이면 결과는 1이 된다.(4) 전 가산기가산기는 2개의 비트 A, B와 밑자리로부터의 자리올림 Ci ... 다. 조합 회로의 소자로는 게이트 그 자체가 기본이고 반가산기, 전가산기, 감산기 종류, 디코더, 멀티플렉서 등이 있다.조합 논리 소자란 적어도 하나 이상의 출력 채널과 두 개 이상 ... 이 다시 논리곱으로 결합되는 관계이므로 배타적 논리곱과 같다.(3) 반 가산기가산기는 두 개의 2진수 한자리를 입력하여 합(sum)과 캐리(carry)를 구하는 덧셈 회로다. 캐리
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2010.02.04
  • LED ONoff-순서대로 점등하기
    로콘트롤러의 출력을 통해 LED램프를 제어하는 것이었다. 저번 실험인 전가산기 실험과 회로는 같으나 구동프로그램이 달라 출력이 달라지는 것이었다. 기본적인 내용은 소프트웨어를 사용하여 8 ... 개의 LED를 한 쪽 방향으로 순차적으로 켜주는 것이다. 검토 사항은 8비트에서 16비트로 바꾸는 방법과 7세그먼트에 적용해 보는 2가지 과제였다. 8비트에서 16비트로 바꾸 ... LED ON/OFF1. 실험목적본 실험에서는 마이크로콘트롤러의 출력을 통해 LED램프를 제어하는 실험을 행한다.2.실험과정소프트웨어를 사용하여 8개의 LED를 한 쪽 방향
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2009.12.19 | 수정일 2016.08.27
  • 가산기
    실험 2. 가산기1. 이 론2. 예비보고서(1) 앞에서 설명한 방법을 쫓아서 그림 5의 반 가산기를 8개의 2입력 NAND 게이트만으로 설계하라. 또 NAND 게이트 두 개 ... 으로 작성한다.(덧셈기로 동작함을 조교에게 확인을 받을 것.)S CoutABCSCoutAB2. 예비보고서(1) 앞에서 설명한 방법을 쫓아서 그림 5의 반 가산기를 8개의 2입력 NAND ... 에 대한 pin 구성도를 크게 그리고 반 가산기 실현을 위한 pin 연결도를 그려라.그림 5. 반 가산기(2) 앞 1번과 마찬가지로 NAND 게이트만으로 전가산기를 실현하기 위한 pin
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 1,000원 | 등록일 2008.09.26
  • 논리회로실험- 가산기와 감산기 예비보고서
    Ⅰ. ADDER (가산기)1. 실험의 목표Logic gate를 이용하여 adder를 구성해 보고 half-adder 및 full-adder를 구성한 후 4-bit serial ... 가산기에서 나오는 Carry와 합해 주어야 전가산기의 Carry를 얻게 된다→ 두가지가 동시에 1로 되는 경우는 없으므로 or 게이트를 통과시킨다(3) 병렬 가산기→ N 비트가산 ... Carry를 받아서 윗단의 계산을 할 수 있으므로 동작시간이 비교적 길게 걸린다는 단점이 있다.→ 전가산기 하단의 계산 시간이 30ns정도이므로 4비트 병렬 가산기의 경우 120
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 1,000원 | 등록일 2008.04.30
  • 학습지도안-전자계산기일반
    : 입력 값에 의한 출력 값 결정반가산기가산기 : 2진수 한 자리(1bit) 덧셈회로전가산기 : 반가산기 2개와 1개의 OR gate로 구성, 이전자리 캐리와 자신의 캐리 계산반감산기 ... 되어 데이터 전송용으로 많이 사용, ISO에서 통신 표준 코드② 3bit의 zone bit와 4bit의 digit bit로 구성8421전자계산기- 8 -..PAGE:9정보통신설비 ... : 두수의 차와 자리내림(Borrow)을 구하는 회로전감산기 : 반감산기 2개와 1개의 OR gate로 구성병렬가산기 : ALU에서 산술연산을 수행하기 위한 회로Encorder
    Non-Ai HUMAN
    | 시험자료 | 25페이지 | 2,000원 | 등록일 2010.01.07 | 수정일 2015.10.14
  • 8-3 인코더, 3초과 코드 가산기
    비트 덧셈기를 통해 가산 연산을 수행하도록 한다. 그 후, 캐리의 유무를 통해 0011을 가산할 것인지, 혹은 감산할 것인지를 판단하도록 한다. 0011의 감산의 경우, 1101 ... 었으며, 이를 두 개의 4비트 가산기를 이용하여 구현할 수 있었다. 캐리가 발생했을 경우에는 캐리에 1의 출력이 발생하므로, 그 캐리의 신호를 이용하여 0011의 신호를 만들어 낼 수 ... 을 수행하는 가산기를 구현하시오- 3초과의 경우 캐리의 유무에 따라 보정을 수행해야한다. 두 수 가산 후 보정회로를 추가하여 완성하시오. 입력 값 A3~A0과 B3~B0는 임의로 입력
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 2,000원 | 등록일 2009.06.10 | 수정일 2021.01.17
  • 컴퓨터 논리회로 예비+결과
    로 나누어 결과를 출력하는 조합논리회로이다.입 력출 력ABXYZ*************0011010단일비트 비교기의 구성도8) 반가산기AND, XOR 게이트를 이용하여 반가산기 ... , 반가산기, 플립플롭, 논리회로의 동작특성을 실험적으로 확인한다.2. 이론1) 논리적 회로 (AND gate)AND 게이트 논리소자의 핀연결과 2개의 입력에 대한 출력을 나타낸 ... 을 비교한다.4) 반가산기와 반감산기의 특성(좌)반가산기, (우)반감산기① NOR게이트와 AND게이트를 이용하여 아래와 같은 반가산기를 만들어라.- 반가산기의 입력 A와 B에 0V, 0
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 2,000원 | 등록일 2011.04.20
  • 디지털회로 [ 반가산기, 전가산기, 반감산기, 전감산기 _ 사전 ]
    )가 된다(예를 들면 아래와 같다). 반가산기는 어려 비트의 덧셈 기를 구현할 때 최하위 비트가산기에 해당한다.1 ?A+1 ?B10 ? 1 : 자리올림 수 (Carry)0 : 합 ... (Carry)가 된다. 전 가산기는 여러 비트의 덧셈 기를 구현할 대 최하위 비트를 제외한 나머지 비트들의 가산기에 해당한다.1 ?자리올림수1 ?A+1 ?B11 ? 1 : 자리올림 수 ... 3. 반가산기, 전가산기,반감산기, 전감산기제출일실험조이름-사전 보고서-? 실험목적연산 회로의 기본인 가산기, 감산기의 구조를 이해하고, 기본 게이트들을 사용해 가산기와 감산기
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2008.04.08
  • 디지털 회로(Gray Code, Excess - 3 Code, 보수, BCD Code)
    로부터 그 다음의 코드로 증가하는 데는 단 하나의 비트만 바꾸면 되므로 이 특성은 데이터의 전송, 입?출력장치, A/D 변환기 등 많은 응용에 이용된다.은 10진수에 대한 2진수 및 ... 그 다음 수로 넘어가게 만든 코드이다. 예를 들어 10진수 7에서 8로 바뀔 때 Gray 코드는 0100에서 1100으로 비트 하나만 변하고 다른 비트들은 그대로 같다.그레이 코드 ... 가 같 은 수의 비트를 갖는다.경우2 : 양수와 작은 음수 ; +9와 -4의 가산을 생각해 보자. -4의 2의 보수형태라느 SRJT 을 기억해야 한다. 그래서 +4(00100(2진수
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 2,500원 | 등록일 2008.09.05
  • 정보처리기사 필기 요약 및 기출정리
    적, 시간적 데이터 집합체2과목 : 전자 계산기 구조1. IEEE 754 ▶ 가수는 부호 비트와 함께 부호화-크기로 표현된다.2. 디코더로 전가산기 회로 설계 ▶ 3 x 8 디코더 1개 ... 는 반드시 indirect 상태가 되어야 함74. 소프트웨어 의한 우선순위 체제 특성 x ▶ 우선순위를 변경하기 어렵다75. n비트 2진 병렬 가산기 ▶ n개의 전가산기로 구성76 ... -point일정 계획 방법론 : pert, cpm, wbs4-8. 소프트웨어 개발organic : 5만 / semidetached : 30만 / embeded : 최대형4-9
    Non-Ai HUMAN
    | 시험자료 | 35페이지 | 2,000원 | 등록일 2012.03.25
  • [논리회로실험] 실험10. 시프트 레지스터 및 링 카운터 예비보고서
    .펄스 발생기가 단일 펄스를 발생하도록 세팅하고, 카운터를 클리어하시오.단일 펄스를 공급하면서 표 10-8E를 완성하시오. 이때 Q-레밸을 지시하는 LED의 발광 상태(점등은 L ... 출력이 얻어지는데 필요한 입력 주파수를 구하시오. 인접한 카운터단 출력 Q 사이의 위상관계를 구하시오.11. 곱셈 산술연산에서 시프트 레지스터와 병렬 가산기가 어떻게 적용 ... 이론레지스터는 데이터의 저장고 또는 하나의 메모리이다. 시프트(shift)레지스터는 레지스터 내부의 정보를 클럭이나 시프트 펄스에 따라 한 비트씩 옮기거나 이동시킬 수 있게 만든
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 1,000원 | 등록일 2010.12.05
  • [멀미공] 색상 표현 방식
    표현하기 위해서는 각각 8비트의 크기가 필요하다.R=8비트, G=8비트, B=8비트 이면 모두 224, 즉 16,777,216 가지의 색상을 표현할 수 있다. 그리고 모두 8비트 ... 장치에 저장되어 있는 영상 데이터나 주사선 영상표시(raster scan display)를 발생시키기 위하여 이용되는 비트(bit)들의 그룹으로 이루어진 영상을 의미한다. 화면의 한 ... 가 증가한다는 사실에서도 시각적 정보의 중요성을 알 수 있다.이미지그래픽입력장치(스캐너, 디지털카메라) 등 을 이용해 얻어진 픽셀(pixel)을 2차원 맵으로 구성한 데이터이며, 비트
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,500원 | 등록일 2010.01.10
  • 웹멀티미디어 시험내용 정리
    해 음질을 깨끗하게 최적화 가능사운드 포지 외에 주로 사용되는 오디오 편집 소프트웨어:케이크 워크, 골드웨이브, 쿨 에디트.8. 이미지편집 (p96-98)2D 드로잉 이미지 보정 ... 해 이미지 크기를 크게 하더라도 깨지지 않고 선명.ex)어도비의 일러스트레이터, 코렐의 코렐드로우3Ds MAX:이미지를 편집, 모델링 하는 소프트웨어. 애니메이션도 지원->캐릭터 ... 지 않은 것이 검은색.RGB기본 색상이 혼합되어 결정되며 가산모델이라 부른다.CMY모델: Cyan, Magenta, Yellow를 기본으로 하는 컬러모델감범 혼색에 의해 컬러 결정
    Non-Ai HUMAN
    | 시험자료 | 9페이지 | 1,500원 | 등록일 2015.12.12 | 수정일 2015.12.14
  • Shift Register & Division Circuit
    려면 가장 우측 데이터(LSB)가 출력되고 가장 좌측 데이터(MSB)로 입력이 이루어진다. 이는 직렬가산기의 논리에 필요한 레지스터이기도 하다. 시프트 라이트 레지스터의 동작 ... 은 결과를 얻을 수 있어 정확한 실험을 했다고 할 수 있다.3.실험3A.Data▶ 74LS164를 사용하여 8 bit Shift Register 회로를 제작하여 확인하라.Push ... *************0000001000000000010000000000100000000001000000000010000000000100000000001B.Discussion3번 실험은 74LS164를 사용하여 8 bit Shift Register
    Non-Ai HUMAN
    | 리포트 | 29페이지 | 3,000원 | 등록일 2010.10.16
  • 실험 제목 : 기본연산회로
    1 01 1 1 00 0 0 014. 실험 고찰이번 실험은 XOR게이트를 사용하여 가산기 회로를 만들고, 반가산기와 전가산기 및 4비트 2진 가감산기의 입력에 대한 출력을 알아봄 ... 으로서 회로의 이해를 돕는 실험이었다.첫 번째로, 반가산기는 2개의 비트를 더하는 기능을 하는 가산기이다. 2개의 비트로 덧셈조합을 만들어 보면, 0+0=0, 0+1=1, 1+0 ... 가 생기는데 이것을 캐리라고 한다. 따라서 반가산기는 두비트의 덧셈에 대하여 합의 끝자리를 나타내는 출력 S와 자리올림수인 출력 C로서 그 결과를 나타낼 수 있다. 이러한 반가산기
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2008.01.03
  • 전문가 요청 쿠폰 이벤트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 10일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감