• 통큰쿠폰이벤트-통합
  • 통합검색(4,739)
  • 리포트(4,420)
  • 자기소개서(251)
  • 시험자료(40)
  • 방송통신대(11)
  • 논문(10)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로실험" 검색결과 4,081-4,100 / 4,739건

  • 대안적 지능이론
    로서 이 모두를 의미한다고 하였다.(2) 다중지능이론다중지능이론은 지능의 영역에 주안을 두고있다.두뇌고유영역, 특출한 인물, 독자적 발달경로, 진화적 특징, 실험심리학적 증거 ... 초래되므로 유의해야한다. 따라서 교육에서는 가능하면 일찍 아동이 지니고 있는 특수한 능력을 진단하여 촉진해야 한다. 또, 논리수학지능이나 음악지능은 비교적 일찍 발달하지만 대인 ... 로 언어사용이 불가능한 실어증 환자에게서도 나타난다.사례 : 시인, 연설가, 교사논리-수학지능(logical-mathematicalintelligence)숫자나 규칙, 명제 등
    리포트 | 5페이지 | 2,000원 | 등록일 2011.05.31
  • 논리설계 - 카운터를 MAX-PLUS II 결과 보고서
    카운터는 동기식에 비해 회로가 간단한 장점이 있으나 전달시간이 크다.2) 플립플롭의 상태 천이표상태번호현재상태다음상태상태변화된 플립플롭과 변화상태ABCDABCDABCD ... : 동일한 수의 플립플롭을 가지고 링 카운터의 2배의 출력을 나타내기 위하여 사용되는 회로로 링 카운터의 마지막 단에서 출력을 끄집어 내어 첫 단의 입력과 엇갈리게 결합시켜 놓은 것이 ... 다.- 최대 MOD 수는 2N까지 가능2) 4bit 존슨 카운터의 계수 동작표Clock 인가수Q0Q1Q2Q3010001110021110311114(0)0111실험 실습의 구체
    리포트 | 10페이지 | 1,000원 | 등록일 2009.12.15
  • [전기전자] Half / Full Adder 실험 예비&결과보고서
    Ⅰ. 실험목적1) Half Adder와 Full Adder의 구성과 동작 원리를 이해한다.2) Adder을 이용하여 간단한 논리회로를 직접 구성해 본다.Ⅱ. 기본이론1) Half ... (Cout)를 구하는 논리회로이다. Full Adder의 진리표는 표 6-2의 진리표와 같다. 논리식은 카르노맵을 이용하여 쉽게 구할 수 있다. ... AdderHalf Adder는 이진수의 두 입력 X와 Y에 대한 합(S)과 자리올림의 값(Cout)을 출력 값으로 구하는 회로로서 낮은 자리에서 올라오는 올림수는 고려하지 않
    리포트 | 2페이지 | 1,000원 | 등록일 2007.08.08
  • vhdl을 이용한 디지털 시계.(연습문제 풀이. Digital systems : principles and applications)
    , 분, 초를 다 표현할 수 있고 AM/PM설정 기능 추가.■ 필요성● 간단한 VHDL의 구성을 이해하고 회로소스 설계를 통하여 동작을 구성, 전반적인 논리 회로를 이해 ... 와 시간을 논리회로 과제에 쏟아 부은 것 같다. 마지막 B 과제 역시 다른 전공 시험 하루 전에 발표를 하게 되어 어김없이 우리 기대를 저버리지 않았다. 하지만 고생한 만큼 얻는 것도 값지다고 믿는다. ... 간의 친목을 도모하기 위한 회식? 프로젝트 구현 시 중점 사항 및 고려사항 결정- 전체적인 회로도 작성- 추가 기능 사항 검토? 사용언어 조사 및 조원역할 분담- 사용언어
    리포트 | 13페이지 | 2,500원 | 등록일 2008.02.20
  • 10진 BCD부호 변환기 세미나
    10진 BCD 부호 변환기디지털 논리회로 실험0조세미나8421 BCD 부호BCD코드(Binary Coded Demical Code : 2진화 10진코드, 8421코드)는 10진수 ... 적 논리회로) 3) 첫번째 1=1, 두번째 1 XOR 0 = 1, 세번째 0 XOR 1 = 1, 1 XOR 0 = 1 4) 1010(2) = 1111(GRAY) ex) 1011이 ... Code ● 1~5까지는 1이 오른쪽에서 왼쪽으로 하나씩 증가하고, 6~9까지는 각각의 수가 증가할 때마다 0이 오른쪽에서 왼쪽으로 하나씩 증가하는 형태 ● 전자회로를 용이
    리포트 | 16페이지 | 1,500원 | 등록일 2008.09.10
  • 19세기과학사
    한 19세기 과학사◈ 볼타 화학전지▶ 최초의 전기 발견은?(갈바니)개구리 해부 실험 중 그 조수가 정전기로 전기 불꽃을 일으킬 때 개구리 다리가 움찔거리는 것을 우연히 발견하였다. 이 ... 다는 결론을 내리고 말았다. 이것이 유명한 동물 전기라는 것이다. 이 실험은 당시에 정말 대단한 화제가 되었다. 볼타도 처음에는 갈바니의 의견에 동의하였으나 같은 금속을 사용할 때 ... 의 법칙은 전기회로 내의 전류, 전압, 저항 사이의 관계를 나타내는 매우 중요한 법칙이다.전압의 크기를 V, 전류의 세기를 I, 전기저항을 R이라 할 때, V=IR의 관계가 성립
    리포트 | 7페이지 | 1,000원 | 등록일 2009.05.18
  • 실험6. 레지스터 구성
    디지털논리회로 실험예비 레포트한양대학교전자정보시스템전공 3학년실험6. 레지스터 구성학 번2003040520성 명우 재 홍관련이론레지스터(Register)란 1 비트 기억 소자인 ... 에 나타내었다.실험계획1. 그림 6-4는 D 플립프롭으로 이루어진 serial-in/parallel-out 시프트레지스터이다. 74S74를 이용하여 이 회로를 구성하여라2. 입력 ... 카드와 같은 네트워크 어댑터에 적용되는 회로이다.○ 병렬 전송 레지스터레지스터에 어떤 값을 저장하는 것을 레지스터에 값을 로드(load)한다고 말한다. 또한 레지스터에 어떤 값
    리포트 | 4페이지 | 1,500원 | 등록일 2008.05.25
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    , optimize the Boolean equations.다음과 같은 회로도를 얻을 수 있다.지금까지의 실험 이론적 배경 지식을 바탕으로 Assignment 1 / 2 를 실습 진행해 볼 ... ------------------------------------1. 실험 목적2. 실험 이론지식 VHDL 이란 무엇인가.2-1> VHDL2-2> VHDL의 기본 숙지사항2-2 ... > VHDL의 설계단위 정리3. 실험 이론지식 BCD code / Excess-3 code 의 정의4. 실험 이론 지식 Mealy machine for the serial code c
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • 학습지도안(디지틀 공학 실험)
    학습지도안(디지틀 공학 실험)1. 최종 학습목표디지틀 회로에서 자주 사용되는 TTL 소자의 동작특성과 기초 사용법을 익히고 설명할수 있다. 디지틀 회로와 이에 의하여 제어 ... 시킬수 있다. 배운 이러한 모든 것들을 종합하여 조합회로와 순차회로의 설계 및 구현능력을 배양할 수 있다.2. 주별 학습단원 및 학습목표학습단원주요학습목표학습전략주요장비시간1.기본논리 ... 게이트AND, OR, NAND, NOR 게이트의 동작특성을 이해하고, 기본 논리회로를 이해하고 회로의 구성방법과 측정방법을 이해할 수 있다.먼저기본적인 논리게이트 회로의 동작특성
    리포트 | 7페이지 | 1,500원 | 등록일 2007.01.04
  • Ch17. 시프트 카운터(Shift Counters)
    시켰다. +5[V] 또는 개방시킨 다음 출력 Q0가 논리 1이 되는지 확인한다.그림 17-3 4단 플립플롭 링 카운터 실험회로2) Q0, Q1, Q2, Q3가 논리 1000이 되는지 확인 ... . 실험과정 및 결과예측(1) 그림 17-3의 4단 플립플롭 링 카운터 실험회로를 결선하고 다음 순서에 따라 실험하여라.1) 로직 펄서 1을 순간적으로 눌렀다 놓는다(접지시켰다 +5[V ... 301000101002001030001410005010060010700018(0)1000(2) 그림 17-4의 4단 플립플롭을 사용한 존슨 카운터 실험회로를 결선하고 다음 순서에 따라 실험하여라.
    리포트 | 4페이지 | 2,000원 | 등록일 2008.01.08
  • RS 및 D 플립플럽
    씩점퍼선, 작업공구(개인지참)4. 실험과정(1) 그림 4-1과 같은 NAND 게이트를 사용한 RS 플립플럽 회로를 구성하고, 출력전압을 측정하여 표4-1에 기록하여라.입력출력RSQQ ... )는 D형 플립플럽 회로를 구성한 것으로서 NAND와 NOR등 다른 논리회로와 조합하지 않고 7474 전용칩을 사용하여 구성하였다. D형 플립플럽은 CP가 들어올 때, D값이 0이 ... 실험결과보고서실험일자 : 2008.04.16(수)정돈상태 : 양호추가실험일자 : 2008.04.21(월)정돈상태 : 양호제 출 일 자 : 2008.04.23(수)지정좌석번호
    리포트 | 8페이지 | 1,000원 | 등록일 2008.04.28
  • [전기전자기초실험]플립플롭과 카운터 설계 결과보고서
    전기전자기초실험플립플롭과 카운터 설계결과보고서6. 실험과정 및 결과 측정6-1 JK 플립플롭 및 D 플립플롭의 설계 및 검증1) JK 플립플롭< Time Analysis을 통해서 ... 카운터의 설계 및 검증가. 예비보고를 통해 설계한 아래의 verilog HDL 코드를 이용하여 위의 실험과정을 반복하여 동작을 검증1) JK Master/Slave 플립플롭 설계 및 ... 되기까지의 지연값 >4) 4비트 Up/down 프리셋 카운터 설계 및 검증7. 결과 보고서① 실험을 통해 작성한 테이블과 파형을 참고하여 JK Master/Slave 플립플롭, 4비트 양방향
    리포트 | 8페이지 | 2,000원 | 등록일 2007.06.15
  • [디지털공학]디지털논리회로
    논리회로설계 Design Project #2BCD-to-7 segment decoder위 그림에서 보는바와 같이 7-Segment Decdoer는 2진 Code의 수를 입력 ... 00011110000011011101110000101100THEREFOREg = W + XY' + X'Y + YZ'으로 나타남을 볼 수 있다.이것을 바탕으로 논리게이트를 구현해보면,③The ... logic diagram by Max+pus-Ⅱ으로써, 4입력 9출력 디코더로 구현할 수 있다.이 논리게이트로 시물레이션 파형을 구해보면,위 그림과 같이 나타남을 볼 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2006.01.16
  • [예비보고서] 논리게이트 예비보고서 디지털공학실험
    4논리 게이트-1■ 실험 목표이 실험에서는 다음 사항들에 대한 능력을 습득한다.● 실험을 통한 NAND, NOR 및 인버터 게이트의 진리표 작성● NAND와 NOR 게이트를 이용 ... 게이트1.0㏀ 저항■ 심층 탐구 실험용 부품7486 quad XOR 게이트관련이론논리에서는 논리 ‘1’ 또는 논리 ‘0’ 이라는 두 개의 정상 조건만을 다룬다. 이러한 조건은 스위치 ... 널리 사용되고 있다. 이 기호들은 각각의 게이트들이 그림 4-1에 보인 것과 같이 한다. 위 두 가지 형태의 기호 모두 이번 실험에서 사용된다.그림 4-3 기본 논리 게이트AND
    리포트 | 5페이지 | 1,000원 | 등록일 2008.11.16
  • [전자회로실험]사전_2-4.다이오드 특성,직렬 및 병렬다이오드 회로,반파 및 전파정류
    실험 2~4. 다이오드 특성,직렬 및 병렬 다이오드 회로, 반파 및 전파정류사전보고서제출일전공조학번조원이름이름1. 실험목적-실리콘과 게르마늄 다이오드의 특성을 익힌다.-직렬 및 ... =619.9mVID=1.371mAVR=5-0.6199=4.380VVO=619.9mV4)정논리 AND 게이트a.아래 회로를 구성하고 저항의 측정치 기록 후, 각 변수들의 이론치와 측정 ... 병렬로 구성된 다이오드 회로의 해석 능력을 개발한다.-반파 및 전파 정류회로를 통해서 정류원리를 익힌다.2. 이론1) 다이오드 특성DMM으로 다이오드의 상태를 결정하는데 사용할 수
    리포트 | 8페이지 | 2,000원 | 등록일 2009.01.04
  • [논리회로] 전자키설계예비
    1. CodeLibrary ieee;use ieee.std_logic_1164.all;entity key isport( clk, reset, key_en : in std_logic;key : in std_logic_vector(3 downto 0);beep,door :..
    리포트 | 13페이지 | 1,000원 | 등록일 2004.06.09
  • 대소 비교 회로 일치회로
    제 6장. 대소 비교 회로 및 다중출력 회로실험목적대소 비교 회로, 일치회로 및 다중출력 회로를 설계하여, 각 회로의 구성 및 동작특성을 실험을 통하여 이해하며 학습한다.사용 기 ... 자재 및 부품논리 실험기(Digital Logic Lab. Unit), 7408(4조 2입력 AND Gate), 7432(4조 2입력 OR Gate), 7486(4조 2입력 XOR
    리포트 | 3페이지 | 1,000원 | 등록일 2007.03.24
  • op-amp 회로
    될 수 있어서 회로시스템의 주파수 특성을 분석하는데 좋은 신호제공기 가 된다. 또한 논리 신호도 제공되므로 디지털회로 분석에도 용이하다.⑥ 오실로스코프(Oscilloscope ... 1. 실험장비 및 특징① 저 항(resistor)저항은 전류의 흐름을 억제하는 기능을 가진 기초 전자 부품으로전압을 낮추거나 분압 또는 전류량을 제한하려 할 때 사용된다.② 콘 ... 회로나 디지털 회로에도 많이 사용되는 소자이다. ④ 파워 서플라이직류 전원을 공급하여 주는 장치이다.⑤ 함수 발생기(Function Generator)함수 발생기는 낮은 레벨
    리포트 | 7페이지 | 1,000원 | 등록일 2008.04.28
  • 디지털 회로 실험 제 2장
    :1. 먼저 TTL게이트(SN74LS00N)를 준비하여 브레드보드에 결합한다.2. 각 논리에 맞게끔 순서대로 AND, OR, NOT XOR 회로를 만든다.3. 5V의 전류와 함께 ... 를 인가한다.6. 진리표에 따라 각각의 전력 소모량을 비교 한다.AND 회로 실험+-+-OR 회로 실험+-+-NOT 회로 실험+-+-XOR 회로 실험+-+-*결과 :AND 회로 전력 ... ● 실험 내용 : TTL 게이트를 이용한 논리 실험*내용 :TTL 게이트의 입력 단자에 아무런 연결을 하지 않았다면 (NC : No Connection) 이 입력은 논리 0
    리포트 | 5페이지 | 1,000원 | 등록일 2006.10.06
  • labvolt(랩볼트)를 이용한 ASK 변조 복조 실험...
    1. 실험 제목 : ASK 변조 및 복조2. 실험 이론? ASK 변조 : 디지털 신호 0과 1을 반송파의 on, off에 대응시켜 0과 1에 따라 반송파의 진폭을 달리하는 방식이 ... - 동기 검출기는 원래 ASK 반송파 신호와 동일한 위상과 주파수를 가진 기준 반송파를 이용하여 디지털 신호를 복구한다. 입력된 ASK신호로부터, 반송파를 복구해 내는 회로 블록 ... 은 synchronizer이고 PLL과 위상변환회로를 이용하여 복구한다. 그리고 복구된 반송파 신호와 ASK 변조 신호가 믹서에 입력되는데 믹서의 출력은 반송파의 두 배 주파수로 양
    리포트 | 9페이지 | 1,000원 | 등록일 2007.11.08
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 30일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:06 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감