• 통큰쿠폰이벤트-통합
  • 통합검색(2,925)
  • 리포트(2,318)
  • 논문(479)
  • 시험자료(92)
  • 자기소개서(16)
  • 방송통신대(15)
  • ppt테마(3)
  • 서식(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"Decoder" 검색결과 381-400 / 2,925건

  • Viterbi Decoder
    6. Viterbi Decoder콘볼루션 부호화기는 SNR(신호대잡음비)이 매우 낮아서 수신된 신호에 오류가 생기기 쉬운 경우에 사용한다. 콘볼루션 부호화기는 원신호에 적절한 양
    리포트 | 9페이지 | 1,000원 | 등록일 2012.11.21
  • DECODER
    UNISIM.VComponents.all;entity decoder isPort ( sel : in STD_LOGIC_VECTOR (2 downto 0);y : out STD_LOGIC ... _VECTOR (7 downto 0));end decoder;architecture Behavioral of decoder isbeginy '0');--Outputssignal ... y : std_logic_vector(7 downto 0);BEGIN-- Instantiate the Unit Under Test (UUT)uut: decoder PORT MAP
    리포트 | 9페이지 | 1,000원 | 등록일 2010.03.26
  • 예비보고서(PCM Decoding)
    실험제목: 펄스부호복조(PCM Decoding)조 : 6 조학부: 전자공학과성명: 이 도 현과목: 디지털통신교수님: 김 정 곤제출일: 2015 년 4 월 30 일학번 ... 에 대한 소개(7비트 선형의 경우와 4비트 컴팬딩 된 PCM의 비교)2. 실험 방법-PCM Decoding(펄스 부호화 복조)에 관한 Modulation 파악-Bit에 대한 파형 ... 을 관찰-Encoding과 Decoding의 차이점 파악3. 실험 이론PCM decoding 모듈은 외부 클락 신호에 의해서 구동되어진다. 이 클락신호는 송신부의 클락신호에 동기가 되
    리포트 | 2페이지 | 1,000원 | 등록일 2015.05.18
  • 결과 Decoder & Encoder
    }13031212010100000Comparison & Analysis입력출력2 ^{1}2 ^{0}0123001000010100100010110001[ 2 TIMES 4 Decoder truth ... , BCD to Decimal Decoder(74HC42), 실험4. 8 TIMES 3 Priority Encoder(74HC148) 두 실험은 실제 실험에서 제외하였음[ 분석 및 고찰 ... ]이번 실험은 Decoder와 Encoder의 전반적인 동작에 대해 이해하고 실험하는 것이다. 디코더는 컴퓨터가 사용하는 2진 코드를 사람이 실제로 잘 알아볼 수 있게 바꾸어주
    리포트 | 6페이지 | 2,000원 | 등록일 2013.12.26
  • 예비 Decoder & Encoder
    1. 실험목적- 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다2. 실험이론Decoder & Decoding ... 역할을 한다.ex)3 TIMES 8 Decoder Truth tableBooliean algebraEncoder & Encoding: 10진수나 8진수를 입력으로 받아들여 2진수 ... Encoder)Logic symbolPinninginformation4. 실험과정 및 예상 결과2 ^{1}실험1)2 TIMES 4 Decoder32102 ^{0}입력출력2 ^{1}2 ^{0
    리포트 | 8페이지 | 1,500원 | 등록일 2013.12.26
  • DECODER, ENCODER
    POST REPORTDecoder & Encoder자일링스의 '스파르탄-3A DSP Hyperlink "http://www.eetkorea.com/SEARCH/ART/FPGA.HTM" FPGA 에디션 2.0'NVIDIA8800GT와 연동되어사용되고 있는 Xilinx-M..
    리포트 | 13페이지 | 1,000원 | 등록일 2010.03.26
  • decoder와 encoder 실험보고서
    1. 실험제목 : Decoder와 Encoder2. 실험날짜 : 2013. 11. 14 (목)3. 실험결과 : 1)4 to 2 line encoder이 회로는 4개의 신호선 중 ... *************01000100010112)2 to 4 line decoder이 회로는 앞의 회로와는 반대로 2개의 신호선에 의하여 선택된 번호의 출력선만 on 되게 하는 회로이다.ABL1L2L3L ... 4000100011000002010010311000113) BCD to decimal decoderTTL IC 7442는 BCD to decimal decoder로 0부터 9사이
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.25 | 수정일 2015.07.14
  • 실험5. Decoder & Encoder 예비보고서
    : 김경수 김지승실험5. Decoder & Encoder1. 실험 목적디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.2 ... . 실험 이론1)Decoder위는 2x4의 디코더의 진리표, 블록도, 회로도이다.디코더는 n개의 입력을 정수로 나타냈을 때 그 정수값에 대응하는 유일한 출력들이 존재하는 것이다. 예 ... 수 있다. 이렇게 함으로서 내가 원하는 값을 출력할 수 있게 된다. 앞서 알아본 Decoder의 Truth Table과는 완전히 반대되는 모습을 볼 수 있다. 다음은 8x3
    리포트 | 10페이지 | 1,000원 | 등록일 2017.12.07
  • Decoder, encoder와 multuplexer, demultiplexer 결과 report
    Decoder, encoder와 multuplexer, demultiplexer2. 결과 분석1. 다음 그림 11.1의 회로를 구성하고 표 11.1의 진리표를 실험에 의해 완성하라. 입력 ... 을 대략적으로 머릿속으로 계산할 수 있었기 때문이다. 7442는 4비트의 BCD를 10진수(Decimal)로 바꾸어 주는 Decoder이다. 입력이 0000이면 10진수로 0, 즉 1번 ... 으로 LED의 과도한 전류가 흘러 터지는 것을 방지하여야 할 것 같다.4. 문제1. Inverter와 AND gate를 사용하여 3선 ? 8선 decoder를 설계하라.2
    리포트 | 9페이지 | 2,000원 | 등록일 2015.11.01
  • Decoder, encoder와 multuplexer, demultiplexer 예비 report
    학번 : 이름 : 실험조 :--------------------------------------------------------------------1. 실험 제목Decoder ... , encoder와 multuplexer, demultiplexer2. 실험 목적· Decoder, encoder와 multiplexer, demultiplexer의 동작을 알아보 ... , 3mmΦ 적색 투명 LED(4개)4. 실험에 필요한 기본 지식(1) 디코더(Decoder)디코더란 2진 부호와 같은 BCD 코드를 부호가 없는 형태로 바꾸는 변환회로를 말
    리포트 | 13페이지 | 2,000원 | 등록일 2015.11.01
  • 한글 해호화 과정의 특성 (The Characteristics of decoding process in Korean Scripts, Hangul)
    한국인간발달학회 윤혜경
    논문 | 17페이지 | 4,600원 | 등록일 2015.03.24 | 수정일 2017.02.01
  • 제대로 번역한 Reading Explorer5 (3rd edition) UNIT9A. Decoding Leonardo
    UNIT 9. Creativity9A: Decoding Leonardo 레오나르도 해독하기According to legend, in the year 1505 near the
    리포트 | 10페이지 | 4,000원 | 등록일 2020.08.07 | 수정일 2020.11.06
  • Viterbi decoder Matlab 설계
    Viterbi decoder 알고리즘Viterbi decoder 알고리즘은 트렐리스도로 표현할 수 있다. 그림 2는 구속장(K)이 3, 부호율이 1/2인 Viterbi ... decoder이다. 실선 부분은 state register에 0이 입력으로 들어왔을 경우이고, 점선 부분은 1이 입력으로 들어왔을 경우이다. 길쌈 부호기에 입력으로 1 비트(0 or 1 ... )가 들어오면 출력으로 2 비트 부호어가 나가게 되어있으므로, Viterbi decoder에서는 2 비트 부호어를 단위로 하여 데이터를 처리한다. 이 부호어와 수신된 2 비트간의 거리
    리포트 | 5페이지 | 2,000원 | 등록일 2012.11.02
  • 결과보고서 - NH800 을 위한 ALU Decoder
    : 전기전자전파 공학부 ㅇㅇㅇ 학번 :실험조 : 7조 실험일 :실험제목NH800을 위한 ALU Decoder실험목표5-32 Decoder를 설계한다. (Enable 단자 포함)진리표 ... 를 참조하여 ALU Decoder를 완성한다.실험준비물HBE-Combo 보드, Altera Quatus II 4.0 software기본지식이번 실험은 코드는 작성하였지만, 세븐세그먼트 ... 들을 만들고, 그 다음 각각의 Type디코더를 만들었으며 이들을 포함하는 ALU디코더를 최종적으로 만들었다.먼저 2-to-4 decoder를 만든다.module decoder2_to_4
    리포트 | 8페이지 | 2,000원 | 등록일 2017.11.08
  • Decoder 결과보고서08
    에 나온 Decoder 회로를 설계하고 올바르게 작동하는지를 알아보는 실험이었다. NOT게이트와 AND게이트를 이용 회로를 만들고 실험하는데 별 어려움이 없었다. 또 이론값과 일치
    리포트 | 2페이지 | 1,000원 | 등록일 2013.12.10
  • [디지털공학] 멀티플렉서(Multiplexer), 디코더(decoder), 인코더(encoder), 돈케어
    Digital engineering1디지털 공학[멀티플렉서(Multiplexer), 디코더(decoder), 인코더(encoder), 돈케어]담당교수홍길동학번이름홍길동제출일자 ... 연결되어 좀 더 큰 디코더 회로를 형성할 수 있다.디코더디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 2n개의 서로 다른정보로 바꿔 주
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.28 | 수정일 2019.04.01
  • Viterbi Decoder설계
    『Viterbi Decoder (K=3 and rate=1/2)』◎ Module viterbi◦ Purpose : TOP LEVEL MODULE OF DECODER PART
    리포트 | 13페이지 | 2,000원 | 등록일 2010.10.28
  • Decoder and Encoder
    Decoder and EncoderIntroductionBCD to 7‐segment decoderBCD 값을 입력받아 7‐segment 출력을 위한 신호를 발생시키는 변환 회로 ... 은 BCD값(0000~1001)에 값에 따라 0~9까지를 LED에 표현하는 것이다.entity decoder isport (A : in std_logic_vector(2 downto ... 0);E : in std_logic_vector(3 downto 1);Q : out std_logic_vector(7 downto 0));end decoder
    리포트 | 17페이지 | 1,500원 | 등록일 2010.11.02
  • Multiplexer, Decoder, Register
    Ⅲ. Multiplexer, Decoder, Register1. Multiplexer(1) Multiplexer- 디지털 멀티플렉서(Digital Multiplexer)는 많 ... 을 가지고 있다. 즉 컴퓨터장치 사이에서 2개 또는 그 이상의 정보원을 단일 목적점으로 연결하는데 사용되며, 또 공통적인 bus 시스템을 구성하는데에도 사용된다.2. Decoder(1 ... ) Decoder- 어떤 부호체계에서 표현된 형태를 원래의 형태로 복원하기 위한 변환기로 해독기라고도 부른다. 하드웨어적으로는 여러개의 입력단자와 여러개의 출력단자를 갖춘 장치
    리포트 | 4페이지 | 1,000원 | 등록일 2010.09.15
  • [논리회로설계실험]Decoder와 Encoder설계
    1. 실험 내용1) 3x8 Decoder - Data Flow Modeling 아래 진리표와 같은 값을 갖도록 Decoder를 설계하라.2) 3x8 Decoder ... decoder_data_flow is Port ( x : in STD_LOGIC_VECTOR (2 downto 0); -- 입력이 3비트이므로 std ... 는다 D : out STD_LOGIC_VECTOR (7 downto 0)); -- 8비트의 출력 선언end decoder_data_flow;architecture
    리포트 | 7페이지 | 1,500원 | 등록일 2015.07.07
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 30일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:24 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감