**디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use ... IEEE.STD_LOGIC_UNSIGNED.ALL;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic ... ;push1 : in std_logic;push2 : in std_logic;push3 : in std_logic;digit : out std_logic_vector(1 to 6);s
: in std_logic_vector (1 downto 0); // mode1이 stopwatch모드 MODE2 : in std_logic_vector (1 downto 0); 일 ... 자리 SEG_M10[6~0] : 분 표시의 상위 자리 SEG_M1[6~0] : 분 표시의 하위 자리 SEG_S10[6~0] : 초 표시의 상위 자리 SEG_S1[6~0] : 초 표시 ... DECODER : 입력에 따라 7-Segment를 작동시킨다.*VHDL Codeentity TOP is port (CLK : in std_logic; SW1 : in std
를 상태 S0로 지정하였다. 여기서 국도에 차가 들어와 센서에 감지되면 X신호가 1로 활성화되어 상태가 S1으로 변한다. X신호가 계속해서 0이라면 처음 상태를 그대로 유지할 것이다.S ... 1에서 고속도로는 Yellow를, 국도는 Red를 출력하고 시간이 지나면 자동으로 S2로 변한다.S2에서는 고속도로에 Red를, 국도에 Green을 출력하고 국도에 차가 없어질 때 ... , 즉 X신호가 0이 될 때, 상태를 S3로 변화시킨다.S3에서 고속도로는 Red를, 국도는 Yellow를 출력하고 시간이 지나면 자동으로 S0로 변한다.따라서 위 상태머신