• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(485)
  • 리포트(323)
  • 논문(145)
  • 시험자료(12)
  • 자기소개서(2)
  • 방송통신대(2)
  • 서식(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"MRIO(Multi-Regional Input-Output)" 검색결과 321-340 / 485건

  • 재무관리_(주)이수페타시스 분석 보고서
    이나 DATA INPUT/OUTPUT을 확장ㆍAutomotive PCB-자동차 산업에서의 전자부품-4-2-3.규모■매출액 (단위 : 억원)과목20*************7매출액3 ... 시장의 급성장과 함께 BUILD-UP PCB는 슬림화, 고집적화 요구ㆍMulti Layer Board-NETWORK용 PCBㆍMemory Module PCB-MEMORY의 용량 ... (주)이수페타시스분석 보고서목차1. (주)이수페타시스1-1.연혁1-2.제품1-3.규모2. (주)대덕전자2-1.연혁2-2.제품2-3.규모3. 재무비율 분석3-1.수익성3-2.안정
    리포트 | 11페이지 | 1,000원 | 등록일 2016.04.04
  • 10장예비레포트
    기 때문에 당연히 같게 측정되었으며, 그 값은 1.906V 이다.- drain-source voltage-로 측정되었다.=>모두이므로 둘 다 saturation region 에서 작동 ... 하여 사용한다.[설계사양]⑴ Differential-mode output resistance 약 4kΩ]⑵ Differential-mode voltage gain (midband ... gain) 50 (V/V) 이상- 위와 같이 회로를 구성하였다. 전류원은 1mA 로 결정하였다.Output resistance 는 대략 4㏀ 로 하였으며, voltage gain
    리포트 | 10페이지 | 1,000원 | 등록일 2011.03.13
  • 논리회로실험 설계 보고서
    ); -- input in_1 8bitin_2 : in std_logic_vector(7 downto 0); -- input in_2 8bitoutput : out std_logic ... _vector(15 downto 0)); -- Output in_1 8bitend result;----입력 출력 포트를 지정하였다. entity 선언부architecture ... . FPGA board에 설계한 코드를 load한 후 회로로 구현하여 곱셈기의 동작을 눈으로 확인하여 본다.vhdl에 대한 기본적인 설명- HDL은 Text editor, Compiler
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 경영정보학개론-인공신경망
    X 3 X n w 1 w 2 w 3 w n Y j인공신경망 모형 구조 guesses Internal processing data Three-Layer Network (Output ... Regression Vs. Multi-layer PerceptronPerformance Measure Convergence : accuracy of model fitness in ... layer) (Hidden Layer) (Input layer)처리요소 (Processing Elements)학습 (Learning)학습 (Learning)...Input
    리포트 | 25페이지 | 1,000원 | 등록일 2012.01.10
  • ARM GPIO & UART with C language
    includes 237 multi‐.functional input/output port pins and 142memory port pins. There are 34 general port ... 4. Background※Cortex‐A8(S5PV210) OVERVIEWThe key features of S5PV210 include:• ARM CortexTM-A8 ... 한 문제점을 해결하기 위해 80년대 중반에 joint ........- JTAG 동글JTAG 동글을 고가의 ICE 장비를 사용하지 않고, 간단하고 저렴한 방법으로 코드를 다운로드
    리포트 | 27페이지 | 1,500원 | 등록일 2011.12.29 | 수정일 2017.12.04
  • lte서비스
    전송 방식은 다중 입출력(MIMO : Multiple Input Multiple Output)를 기반으로 한다.이를 이용하여 3세대 이동통신의 HSDPA보다 12배 이상 빠른 속도 ... LTE 기술 및 서비스I. 개 요 3II . LTE의 기술과 서비스 41. LTE의 등장배경과 발전과정 42. LTE의 주요기술 73. LTE 시스템 구성 104. LTE ... -Adavanced 체계 12III . LTE의 기술 및 표준화 동향 121. LTE 기술의 방향 122. LTE-Adavanced 표준화 방향 13IV. LTE 서비스의 시장전망과 활성
    리포트 | 20페이지 | 1,000원 | 등록일 2014.10.21
  • [Lab#4]7-Segment LED Display 실습
    Set(Preset-9) inputs: Output from 1/2 section,,: Outputs from 1/5 section[4]실습※다음 회로도를 브레드보드에 구성 ... (=) 입력으로 작용한다.▷출력 : BCD코드를 십진수로 표기되도록 7비트의 7-segment LED decode/drive 신호 출력Segment outputs(Active-Low ... ) :▷Lamp Test(): 회로구성 후 7-segment display의 구동 여부를 동시에 확인하는 입력 핀(Active-Low)▷Ripple Blanking Input
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • 전기전자기초실험-Basic Logic Circuit Design예비
    gate-> NAND gate-> NOR gate-> AND gate , OR gate② Design XOR gate with 2 input AND, OR, NAND, NOR, NOT ... output indicates a digital circuit rather than analog.ECL : In electronics, emitter-coupled logic, or ... hief characteristics of ECL is that the transistors are never in the saturation region, the input
    리포트 | 6페이지 | 1,000원 | 등록일 2010.10.31
  • What is the most effective teaching method for Korean learners of English?
    only emphasized input should be comprehensible to SLLs but also comprehensible output is also ... rememberable form-meaning context to their students. Also, comprehensible output which is well-known as a c ... rememberhis multi-media environment both outside the classroom and, in many cases, inside the class room as
    리포트 | 4페이지 | 2,000원 | 등록일 2011.01.05
  • Bilateral Filter-FinalHW.alz
    = Gbilateralfilter(input1,w,sigma_d, sigma_r);output2 = Cbilateralfilter(input2,w,sigma_d, sigma_r ... );% Bilateral filtering 전 후의 사진을 비교한다.figure, imshow(input1);figure, imshow(output1);figure, imshow ... (input2);figure, imshow(output2);..FILE:Gbilateralfilter.mfunction h = Gbilateralfilter(A,w,sigma_d,s
    리포트 | 1,000원 | 등록일 2010.08.29
  • [도시][도시의 의미][도시의 형태][도시의 성격][도시의 경제지표][도시의 내부구조][도시와 촌락의 비교]도시의 의미, 도시의 형태, 도시의 성격, 도시의 경제지표, 도시의 내부구조, 도시와 촌락의 비교 분석
    지상(L.Q;Location Quotients)3) 변이 - 할당분석(shift share analysis)4) 지역간 투입 - 산출분석(regional input output ... 의 경제적 변동이나 또는 국가경제성장에 대해 당해지역의 경제성장의 비를 나타내는 동태적인 분석기법이다.4) 지역간 투입 - 산출분석(regional input output ... 때 이용하는 분석방법을 입지상이라 하며 전국 혹은 비교가 가능한 경제의 산업구성비에 대한 특정 도시의 산업구성비로써 표현된다.3) 변이 - 할당분석(shift share
    리포트 | 7페이지 | 5,000원 | 등록일 2011.09.30
  • MIPS Processor multi cycle(verilog)
    modulemodule sMIPS_multi(input clk, reset,output [31:0] mem_adr, aluresult, mem_write_data, srca,s ... ---------------------------------------------------------------------*///Multi cycle sMIPS TOP level ... modulemodule mem(input clk, memwrite,input [31:0] adr, writedata,output [31:0] readdata);reg [31:0] RAM
    리포트 | 23페이지 | 1,500원 | 등록일 2009.07.31
  • 보수 & 진보 이념의 시작,한국의 특수성,한국의 진보(우파),한국의 보수(좌파)
    넌 보수니 ?목차 서론 - 보수 진보 이념의 시작 - 한국의 특수성 본론 - 경제 - 안보 - 복지 - 지역 - 인권 결론 1보수와 진보의 이념의 시작 2 배경 프랑스 대혁명 ... - 국민의회 진보 ( 우파 ) 자유경제활동 , 법과 제도의 점진적 개선 보수 ( 좌파 ) 국가의 시장간섭 , 부의 분배 서론 네이버 지식백과 이념 이란 ? - 세상이 어떤 모습이어야 ... 하는가에 관한 믿음 - 특정 정책의 내용과 방향을 지지 혹은 반대 - 이념을 통한 개인 혹은 집단의 행동 예측 가능 국회의원 이념성향 결정요일에 관한 연구 by 김석우 전용주한국
    리포트 | 26페이지 | 4,000원 | 등록일 2014.03.10
  • LTE 기술과 특징,발전과정,전망
    ) Frequency domain equalization SC-FDMA (Single Carrier FDMA) MIMO (Multi-Input Multi-Output ... 1Gbps, 고속 이동 시 100Mbps 의 속도로 데이터를 전송할 수 있어야 한다고 규정 - 엄밀히 말해 LTE 는 4G 가 아님 . 4G 예상후보 (LTE-Advanced ... 3 세대 이동통신과 4 세대 이동통신 (4G) 의 중간에 해당하는 기술 - 3.9 세대 이동통신 (3.9G) 와이브로 에볼루션과 더불어 4 세대 이동통신 기술의 유력한 후보
    리포트 | 17페이지 | 1,500원 | 등록일 2012.11.07
  • RF 중계기 설계 부품 고려사항
    CDMA FWD -20dBm(MAX GAIN)Input Power Level-20Date2008-03-13Output Gain19Noise Feguer15.65Output Power ... CDMA RVS-52dBm(MIN GAIN)Input Power Level-52Date2008-03-13Output Gain6Noise Feguer1.88Output Power ... ( Control Range)5dB(35dB)AMPInput Power Range-20 ~ +15dBm /Total 20FAAMP(HPA, LPA)Maximum Output Power-15dBm
    리포트 | 24페이지 | 2,000원 | 등록일 2009.12.08
  • 연세대학교 전자회로 설계 프로젝트
    Swing범위의 중간점(=Zero Input일 때 Output Voltage)는정도가 적절하다, 여기에서는 MN3이 Saturation을 유지하는 선에서 0.17V로 결정하였다.결정된 값 ... 들로 계산을 해보면, Output Swing은정도가 되며, MN1,2와 MP1,2 에 대해,이 둘다 성립하므로 Transistor들이 Saturation Region에서 동작 ... 할됨을 알 수 있다.[1] 에 따르면, Source-Degeneration된 CS Amplifier의 Output Resistance는로 주어지고,으로 바뀌었으므로, Output
    리포트 | 13페이지 | 2,500원 | 등록일 2010.06.27
  • 공기업(주토공) 통합의 효율성 평가-논문발표
    inputs/outputs) 의사결정단위의 상대적 효율성을 측정 . 2 . 선행연구의 검토 - 지방공기업을 대상으로 한 사후적 단순 효율성 평가 -Ⅳ. 공기업 효율성 평가 1 ... . 자료포락분석의 논리와 선행연구 1 . 자료포락분석의 방법론 - 자료포락분석이란 경계효율성 (frontier efficiency) 개념을 바탕으로 다투입 - 다산출 (multi ... ② 분석자료 : 각 공기업의 2006 년 재무재표 ③ 시간적 범위 : 2006Ⅱ. 공기업효율화의 논리와 주 ∙토공 통합연혁 1 . 신공공관리적 효율성과 공기업 효율화 신관리주의
    리포트 | 18페이지 | 2,000원 | 등록일 2011.06.23
  • IRIS data 분류를 위한 신경망 패턴인식기의 설계
    다. 그 후 1980년대 들어서 한 개 이상의 은닉층 뉴런을 가지는 Multi layer Perceptron 모델이 제안되고, 백프로퍼게이션(back-propagation) 학습 ... 알고리즘들을 프로그램으로 구현하여 그 성능을 테스트 해 보았다.Neural Network은 input node는 2개 혹은 4개, hidden node 5개, output node ... 알고리즘을 사용함으로써 선형 분리 문제뿐 만 아니라 여러 가지 비선형 문제들을 해결할 수 있는 계기를 마련하였다. back-propagation 학습 알고리즘은 오차를 정정하는 규칙
    리포트 | 26페이지 | 3,000원 | 등록일 2011.12.08
  • [생물정보학]Endo-1,4-beta-xylanase A(SWISS PROT-Q12667)
    다..PAGE:21low-complexity regionslow-complexity regions은 종종 protein-protein interaction(leucine ... 프로그램시작 부분..PAGE:70Starting JalviewFile -> Input alginment -> from textbox이전 페이지의 alginment 서열 입력..PAGE ... Endo-1,4-beta-xylanase A(SWISS PROT-Q12667)..PAGE:3..PAGE:4Using DotletOpen and download the applet
    리포트 | 91페이지 | 1,000원 | 등록일 2011.03.12
  • 트랜지스터 회로(Transistor circuit) 오실로스코프 실험 결과레폿
    . Barrier or Knee Voltage(VDO)- Output Voltage value have lower level voltage than input voltage barrier ... - Output Voltage value have lower level voltage than input voltage barrier voltage.* VOD : maximum 0.7V1 ... Volts/Div : 5VTime/Div : 0.5ms4) The factor of error1. Barrier or Knee Voltage(VDO)- Output Voltage
    리포트 | 4페이지 | 1,500원 | 등록일 2008.12.23
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 07일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감