• 통합검색(2,052)
  • 리포트(1,895)
  • 시험자료(99)
  • 방송통신대(21)
  • 자기소개서(19)
  • 논문(14)
  • 서식(3)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"7-세그먼트" 검색결과 301-320 / 2,052건

  • 새롭게 바뀐 정보처리기사 필기 전 범위 요약집입니다. (필기 합격하였습니다.)
    . ex) Spring Batch, Quartz#TCP프로토콜 ; Transmission Control Protocol- OSI 7 Layer 중 4계층인 전송계층에 속하는 중요 ... Interconnection) 참조 모델ISO 에서 제안한 통신 규약- 하위계층(1~3) : 물리계층->데이터링크계층->네트워크계층- 상위계층(4~7) : 전송계층->세션계층->표현계층->응용계층#PDU ... (Protocol Data Unit)동일 계층 간 교환되는 정보의 단위- 세션/표현/응용 계층 : 메시지- 전송 계층 : 세그먼트- 네트워크 계층 : 패킷- 데이터링크 계층 : 프이다.
    시험자료 | 38페이지 | 4,000원 | 등록일 2020.12.29 | 수정일 2021.02.24
  • 서울권역 e러닝/고객관계관리/2020년2학기/중간시험범위 정리
    교안1주차 교안1. 과목소개 및 CRM 기초1) CRM이 등장한 경영환경의 변화(1) 시장의 변화- 제품 차별화의 희석- 고객확보 경쟁의 증가- 시장의 세분화- 대중마케팅의 비 ... 효율성 증대- 고객의 협상력 증가(2) 고객의 변화- 고객의 다양성 증대- 생활방식의 변화- 고객들의 지식화- 고객만족의 준거 변화- 고객 기대수준의 상승- 로열티의 감소(3) 정보 ... 기술의 변화- 하드웨어의 변화- 소프트웨어의 변화- 네트워크의 변화- 개인정보화기기의 보급(4) 마케팅의 변화- 고객 지향성- 관계 지향성- 일대일 지향성- 고객점유율 지향성
    시험자료 | 65페이지 | 6,000원 | 등록일 2020.12.14
  • 컴퓨터네트워크 기말 족보
    , 타이밍제어)와의 연관 관계를 기술하시오. (3점)(1) TCP설정과정을 Three-way-handshake라 한다. (타이밍)(2) TCP 세그먼트 헤드는 20~60bytes ... 이 있다라. 움직이는 화면이나, 사용자와의 상호작용이 편리하다3. 클리이언트-서버 시스템의 순차서버의 설명이 아닌 것은(07’, 14‘, 18’)가. 트랜스포트 프로토콜로 UDP ... . Telnet응용과 관계가 적은 것은(07’, 14‘)가. Client-Server간 NVT ASCII사용**나. 서버는 TCP 및 포트 21 사용 ->23다. 일종의 원격 로그인 기능라
    시험자료 | 4페이지 | 2,000원 | 등록일 2021.06.05
  • 판매자 표지 자료 표지
    [네트워크 관리사 2급 필기]시험대비! 하루전날 핵심암기하기(기출문제 위주로 분석! 합격을 위한 핵심내용정리)
    (Well-known Port)FTP-21, SSH-22, Telnet-23, SMTP-25, TFTP-69, HTTP-80, POP3-110OSI7계층세[세션]-인[인증],동[동기화]표 ... (frame)3계층(네)-패킷(Peackit)4계층(전)-세그먼트(Segment)TCP의 흐름제어를 위해 Sliding Window 이용---> 즉, 윈도우크기의 양을 보고 한번 ... ) 그래서 rwx(4+2+1)=7이고, r-1(4+1)=5, r-1(4+1)=5리눅스 시스템에서 사용자가 내린 명령어를[엔터칠때]커널에 전달해주는 역할을 담당[명령어 해석기]-Shell
    시험자료 | 10페이지 | 2,000원 | 등록일 2021.11.13
  • [전자정부법] 정보화사업 착수계(사업책임자계, 청렴서약서, 사업수행계획서, 보안서약서)
    한다.7. 산출물계획◎ 작성요령- 산출물을 명기하고 산출물 제출일정, 제출부수 등의 제출계획을 기술8. 일정계획구 분MM+1M+2M+n비 고*************234◎ 작성요령 ... )○○○ 장 귀하사 업 수 행 계 획 서사 업 명년 월 일(사 업 자)목 차1. 사 업 명2. 사업기간3. 사업목적4. 사업범위5. 사업추진체계6. 사업추진절차7. 산출물계획8. 일정 ... ◎ 작성요령 : 제안요청서상의 업무범위를 근거로 작성나. 개발 및 운영환경◎ 작성요령 :- 소프트웨어, 하드웨어, 네트워크, 기타로 나누어 기술적인 사항을 개발기간 중과 개발 후
    서식 | 11페이지 | 무료 | 등록일 2022.11.15
  • 판매자 표지 자료 표지
    토목 공기업 기출문제 및 요약 정리본(토목시공)
    : 온도강하기간 (3~7시간)▶ 사면붕괴의 대책공법유지시키는 보호·억제공법증가시키는 보강·억지공법- 배수 공법- 블록 공법- 뿜어붙이기 공법- 피복 공법- 표층안전 공법- 절토 공법 ... 점 사이는 하나의 활동- ( 연결 )원칙: 최초 개시결합점 = 최종 종료결합점▶ PSM(프리캐스트 세그먼트 공법)- 교량 공사에서 일정한 길이로 분할된 교량상부 부재를 공장 ... 점 추정)일정계산결합접(Event)중심활동(Activity)중심▶ 계량의 허용오차- 물, 시멘트: ( 1 )- 혼화재: ( 2 )- 골재: ( 3 )- 혼화제 용액: ( 3
    시험자료 | 7페이지 | 5,000원 | 등록일 2025.02.15
  • 정보처리기사(필기) 4과목 개정판 요약본
    - 트래픽 제어 기능- 패킷 정보 전송 기능라우터4전송 계층세그먼트- 논리적 안정과 균일한 데이터 전송 서비스 제공- 종단 시스템 간의 투명한 데이터 전송을 가능하게 함- TCP ... - 데이터 암호화 기능- 데이터 압축 기능-7응용 계층--※ “PDU (프로토콜 데이터 단위)” : 동일 계층 간에 교환되는 정보 단위※ “SDU (서비스 데이터 단위)” : 상∙하위 계층232C ... ⓐ 요구사항 관리 도구ⓑ 설계/모델링 도구- UML 지원ⓒ 구현 도구ⓓ 빌드 도구ⓔ 테스트 도구ⓕ 형상 관리 도구※ 개발 언어의 선정 기준ⓐ 적정성ⓑ 효율성ⓒ 이식성ⓓ 친밀
    시험자료 | 24페이지 | 4,000원 | 등록일 2020.08.25 | 수정일 2021.10.08
  • 판매자 표지 자료 표지
    기업가정신및창업기초 / (1~ 8주차) [강의노트 + 중간고사 족보 87문항] 중간고사, 과제 완벽대비
    )7. 핵심활동(Key Activities)8. 핵심 파트너십(Key Partnerships)9. 비용구조(Cost Structure)기업가정신및창업기초 강의노트 8주차 - 비즈니스 ... 가 15,000에 달함창업이라는 것은 선택이 아닌 필수가 되는 시대가 옴.1970년도 기대수명 : 63세2013년도 기대수명 : 82세(남자-78.5세, 여자-85세)건강에 대한 관심 ... , 의학의 발달 → 100세 시대2013년도 건강수명 : 남자-72세, 여자-74세A : 당신의 몇 세에 취업할 것으로 생각하는가B : 당신은 몇 세에 퇴직할 것으로 생각하는가C
    시험자료 | 164페이지 | 4,000원 | 등록일 2022.08.28 | 수정일 2022.08.31
  • 정보처리기사 필기 최종정리본 2021//(신유형)
    #prototype패턴->#Singleton패턴: 클래스의 인스턴스가 하나임을 보장->#Abstract Factory패턴: 구체적인 클래스를 지정하지 않고, 독립적인 객체들을 생성하기 위한 ... 인터페이스를 제공->#Factory Method패턴: 객체를 생성하는 인터페이스를 정의하지만, 인스턴스를 만드는 클래스는 서브 클래스가 결정하는 패턴. Virtual ... -Contstructor 패턴이라고도 한다.->#Builder패턴: 객체의 생성 과정과 표현 과정을 분리. 동일한 생성 과정에서 다양한 표현을 생성->#prototype패턴: 원형이 되는 예시물
    시험자료 | 79페이지 | 3,000원 | 등록일 2021.03.12
  • 한양사이버) 2021 고객관계관리 중간고사범위 연습문제 정답
    세그먼트 별로 수행하는 것이 바람직하다4주차다음 중 고객가치측정 기법의 하나인 고객자산가치에 대한 설명으로 틀린 것은?고객생애가치와 고객추천가치를 모두 합산한 재무적 가치이다고객 ... 는다다음의 보기가 설명하는 것은 무엇인가?-매출 및 수익의 80%는 20%미만의 소수 고객에 의해 이루어진다-동일한 유형 내에 속하는 고객들일지라도 기업에 기여하는 수준은 제각기 다를 ... 에서 보았을 때 영업 자동화 시스템은 CRM 시스템 구성요소 중에서 가장 오래된 반면, 큰 영역을 차지하지는 못했던 부분이다.7주차솔루션 방식에 따른 CRM 도입 방법 중 외부
    시험자료 | 7페이지 | 1,500원 | 등록일 2021.03.19 | 수정일 2021.04.04
  • 건설안전산업기사 필답형 간단정리
    시키면서 터널을 굴착, 실드 뒤쪽에서 세그먼트를 반복해 설치하면서 터널을 만들어 가는 공법-NATM 지질지층조사시 확인사항(3)-투수계수 ?지하수위 ?지반지지력-시추위치15.도급인 ... -컴프레셔 등은 적당한 장소에 설치-인접건물 피해방지를 위해 방음시설 조치7.사다리식 통로 기울기 ? 75도 이하8.발생한 날로부터 1개월이내 산업재해조사표9.낙하물 방지망-내민길 ... 자세-안전활동 라인화-직장자주안전활동 활성화7.하적단의 붕괴 또는 화물의 낙하에 의한 근로자 위험방지 대책 2가지-하적단을 쌓는 경우에는 기본형을 조성하여 쌓기-하적단을 로프로 묶
    시험자료 | 7페이지 | 3,000원 | 등록일 2021.11.17
  • 체크리스트 FreeCantilever공법, FCM교 (14쪽)
    Head는 균등하게 접합되었는가6. 응력-신장량은 직선변화를 보이고 있는가7. 쐐기의 밀려들어간 상태는 허용범위내 인가8. 정착구나 콘크리트에 균열이 발생하지 않았는가9. 최종실측 ... 에 견디도록)6. Cross Frame의 직선도는7. 종방향 Frame의 용접은 확실히 되었는가8. 각 부재의 Size와 두께는 도면과 동일한가9. 종방향 Frame/Rail 등 ... 와 콘크리트의 처짐관계를 처짐도에 작성하는가5. 기시공된 세그먼트를 주기적으로 측량하여 처짐관리를 실시하였는지 여부6. 이론치와 실측치와의 비교를 통해 사용재료의 불량검토 및 실험을 통해
    서식 | 14페이지 | 1,000원 | 등록일 2022.01.22
  • 디지털논리회로 MULTISIM 레포트 7-segment
    다. 각 획 별로 필요한 다른 하나의 핀은 장치에 따라 공용 (+)극이나 공용 (-)극으로 배당되어 있기 때문에 소숫점을 포함한 7세그먼트 표시 장치는 16개가 아닌 9개의 핀 ... 공통형. 공통 단자는 그라운드에 연결되고, 5볼트가 입력되면 LED가 켜진다.7-세그먼트 원리에 대해 설명하는데 BCD-to-7 세그먼트를 기준으로 하겠다.BCD-to-7세그먼트 ... 이다. BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를 7비트 코드로 변환
    리포트 | 11페이지 | 1,000원 | 등록일 2017.06.28
  • <논리회로실험>수체계
    세그먼트에 LED를 이용하는 것이다. LED에 흐르는 전류를 제어함으로써 일부 세그먼트는 밝아지고 다른 세그먼트들은 어두워지면 원하는 문자 형태가 생성되는 것이다.그림 1. 7-s ... 주는 풀업(pull-up) 저항이 된다.결선을 완료한 후 전원을 인가하여라. 실험 결과 표 1에서의 각 스위치 조합을 설정하여 회로를 테스트하여라. 표의 출력 열에 7-세그먼트 ... 되는 4비트 코드 그룹만 기억하면 된다. 특히 하드웨어 관점에서 이러한 변화의 용이성은 중요하다.1.2 7-segment display산술 숫자를 표시하는 가장 간단하고 자주 사용
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.14
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    거나 끄고 HBE-COMBO에서는 0일 때 켜진다. oS_ENS(SEG_DATA)는 7 세그먼트의 각 획(숫자를 구성하는 LED)이다. 원래대로라면 각 숫자모듈 하나당 각 획을 표시 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목7-segment ... 실험목표① 4bit binary 를 8bit BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계② BCD 입력을 7-segment로 출력하는 디지털 회로 설계
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • ATmega128을 이용한 4-세그먼트 0-99출력 소스
    2주차 4장 평가 과제ATmega128의 PF에 7-segment*4(4개로 되어 있는 7-segmet)의 data핀을 연결하고 PD의 하위 4비트와 7-segment의 4개 ... 의 common 단자를 각각 연결하여라. 4개의 7-segment의 common 단자를 각각 제어하여 숫자 0에서 99까지 디스플레이하는 카운터를 설계하시오(단, 주기는 100초로 할 ... 것)char FND_DATA[10] ={0x3F, 0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7D, 0x07, 0x7F, 0x6F}; //Common cathode
    리포트 | 2페이지 | 1,000원 | 등록일 2020.06.08
  • 판매자 표지 자료 표지
    [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    1. 문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용 ... 한 4×10 Decoderud_and4.vhdlibrary ieee;use ieee.std_logic_1164.all;--libraryentity ud_and4 isport( in1 ... , in2, in3, in4 : in std_logic;out1 : out std_logic);end ud_and4;--declare and2architecture sample
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • 7세그먼트 분석
    7세그먼트 표시기7세그먼트 표시기BCD-to-7세그먼트 디코더입력변수: 출력변수:A, B, C, D a ,b ,c , d , e ,f , g입출력 변수지정진리표(캐소드 공통
    리포트 | 6페이지 | 2,500원 | 등록일 2011.11.20
  • 7세그먼트 디코더 실험보고서
    실험보고서7-세그먼트 디코더1. 실험목적본 실험을 통해 BCD/7-세그먼트 디코더 드라이버에 대해 알아본다.2. 기초이론2.1 7세그먼트 표시기7세그먼트 표시기라고 하는 소자 ... 하도록 되어 있다. 여기서 막대모양 의 LED 하나 하나를 세그먼트라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. 다음 그림 5.1은 7-세그먼트 ... 세그먼트 디코더BCD-to-7세그먼트 디코더는 그림 5.3에 나타낸 것과 같이 4비트로 구성된 BCD 값 을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, ... ,g
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.26
  • 부경대학교 전자회로실험 보고서 인코더와 디코더
    실험과정 5.1에서 구성한 회로의 출력을 BDC/7-세그먼트 디코더 드라이버(74LS47)의 입력에 연결하라. 이 때 74LS47의 C와D의 입력 단자는 접지시킨다.5.4 74 ... LS47의 출력에 7-세그먼트 LED를 연결하여 0, 1, 2, 3의 4가지 입력에 대해 7-세그먼트 LED가 숫자를 제대로 디스플레이 하는지, 또한 우선순위대로 디스플레이 하 ... 를 만들고, 7-세그먼트 LED의 출력을 사진으로 첨부하시오.4진수BA7-segment000101210311고찰: AND, OR, NOT 게이트를 이용하여 우선순위 인코더를 구성
    리포트 | 3페이지 | 4,500원 | 등록일 2020.06.03 | 수정일 2025.05.09
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 16일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감