• 통큰쿠폰이벤트-통합
  • 통합검색(1,319)
  • 리포트(1,192)
  • 자기소개서(74)
  • 방송통신대(26)
  • 시험자료(19)
  • 논문(7)
  • 표지/속지(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"디지털시계회로" 검색결과 281-300 / 1,319건

  • [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    한 IC Chiphttp://rabe.egloos.com/1589239 빵판에다 만드는 디지털 시계(Digital Clock)https://www.youtube.com/watch?v=LjrdVzRfJOA Tutorial Crear Reloj digital ... 실험 목적7조제출일자팀원이름(학번)설계 목표● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다.- SET 단자를 누를 시 시계의 동작 기능을 한다(시 ... 의 기능 이외에 디지털시계에 추가 기능을 추가할 수 있다.- AM, PM 표시 가능월~일까지 요일을 LED로 표시하는 기능● 설계 과정 중 생기는 문제점들을 직접 찾아보고, 해결
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 일반물리학실험 보고서 '단조화 운동'(A+) - 부산대학교 기계공학부
    , }3. 실험 기구 및 재료디지털 카메라, 트랙(track), 수레, 저울, 용수철, 도르래, 수평계, 초시계, 실, 추와 추걸이, Tracker 프로그램● Tracker ... 들을 기술하는데 중요한 역할을 한다. 용수철에 매달린 물체, 진자, RLC 전기 회로, 고체 물질이나 분자 내에서 원자의 진동 등은 근사적으로 단조화 운동으로 기술될 수 있기 때문이
    리포트 | 9페이지 | 1,500원 | 등록일 2020.12.21 | 수정일 2020.12.26
  • [물리학과][현대물리실험]방사선 측정 사전보고서
    가 운동하게 되어 G.M counter내의 전기 회로에 전류를 생성한다. 이 electric current pulse가 speak를 통해 소리를 내게 되고 동시에 횟수를 count ... 하는 디지털을 움직이게 된다.방사능은 이제 우리의 일상생활에서 그렇게 생소한 단어가 아니다. 그러나 그 정확한 개념이나 위험성 등에 대하여 잘 인식하고 있지는 못하고 있다. 우리 ... 시계.4. 실험방법1) Detecter of Radiation using Counter Tube and Counter? G.M Counter에 Counter tube cable
    리포트 | 5페이지 | 1,500원 | 등록일 2020.09.18
  • TTL IC를 이용한 디지털 시계
    TTL IC를 이용한 디지털 시계실험)?1HZ 구형파 발생회로1Hz 구형파 발생회로555타이머와 74LS390을 이용하여 1초를 만들어 보는 실험을 진행 하였다.실험결과?카운터 ... 011922000000010010000110010010토의)마지막 시계를 만들기 전에 시계의 카운터를 해줄 실험을 이번에 실행하게 되었다.첫 번째 실험인 1Hz 구형파 발생회로 555 ... *************10000001001001③24진 카운터24진 카운터실험은 시각을 표현하기 위해서 1Hz 구형파 발생회로와 7-Segment회로를 연결하여서 24시간을 표현해 주었다.7 segment 표시
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    1.디지털시계회로도 & 구성 원리? 디지털시계 회로도?디지털시계 구성도? 디지털시계는 위와 같은 회로도와 구성도로 작동이 된다. 진행과정을 살펴보면,?NE 555 Timer ... 발생을 위한 저항과 커패시터의 결정과 내부 회로도? 단일체로 된 Timer IC NE555는 디지털시계의 Hz를 맞추기에 매우 정확한 컨트롤러라고는 말할 수 없지만 많이 쓰이 ... 면 된다.이번 프로젝트는 초단위 디지털 시계만 설계를 하므로 , 초단위까지의 Clock신호로만 연결을 한다.1~9초단위의 10진 카운터의 BCD 출력과 Decoder의 BCD(A,B,C
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • 가천대학교 전자공학과 기초회로실험 직렬회로결과 결과레포트
    직렬 회로결과레포트실험개요이번 실험은 직렬회로를 구성하고 옴의 법칙을 적용하여서 전압과 전류를 구해보고 이를 통해 키르히호프 전압법칙과 상응하는 결과가 나타나는지 확인하는 실험이 ... 였음. 실험을 분석하기 이전에 간단하게 이론적으로 설명하면 직렬회로는 두 개 이상의 저항들이 일렬로 연결된 회로라고 볼 수 있음. 따라서 직렬회로에서 전체 저항은 일렬로 연결 ... 된 저항들의 값을 다 합친 값으로 정의할 수 있음. 이것을 식으로 표현하면 다음과 같음.위의 그림은 실험에서 사용된 회로를 PSpice를 통해 구성한 회로임. 위의 회로를 직렬회로라고
    리포트 | 4페이지 | 1,000원 | 등록일 2018.06.24
  • 디지털회로실험 예비보고서1
    디지털회로실험< 실험1 예비보고서. 게이트, 스위치, LED, 그리고 logic 프로브 >-예비보고서-1. 목적1)TTL소자의 패키징 및 핀 번호를 확인하기 위한 데이터시트를 찾 ... 를 추가하게된다.참고문헌 : 디지털회로실험, 예윤해 외2인, GS인터비전, p.1~93. 사용 계기 및 부품- 전원공급기 : -1-- 오실로스코프 : -1-- 브레드보드 : -1- ... , 스위치가 UP일때를 논리0 입력으로 정의하여 위 회로를 스위치를 사용하여 디지털값을 생성하는 회로로 사용하고자 한다. 양논리 시스템을 위한 A,B점의 상태와 음논리시스템을 위한 A
    리포트 | 7페이지 | 1,000원 | 등록일 2019.09.25
  • Quartus digital clock project 쿼터스 디지털 시계 프로젝트 A+
    디지털 회로 설계 실험 수업에서 A+ 받은 자료입니다.쿼터스로 디지털 시계 설계했고 모델심으로 확인도 가능합니다.한학기동안 진행했던 프로젝트로 DE2 보드에서 정확히 돌아갑니다.편한 한학기 보내시길 바랍니다.
    리포트 | 10,000원 | 등록일 2017.06.12 | 수정일 2021.04.22
  • 디지털 시계 실제로 구현하기
    디지털회로 설계 및 실습Term Project10조지금 몇 시계?조원목차1. 프로젝트 소개2. 사용한 부품 목록3. 회로도 및 기능가. 발진부나. AM/PM 표시부다. 시계부라 ... 면서 알람이 해제됩니다.▲ 실제 구성한 알람 회로4. 고찰(문제 원인 분석 및 해결)이번 텀프로젝트에서 ‘디지털 회로 및 실습’ 수업에서 배운 지식을 토대로 ‘디지털 시계’를 만들 ... 고자 했습니다. 시중에 나와 있는 디지털시계를 똑같이 구현하기 위해 과감하게 초 부분 세그먼트를 제외했는데 이는 후에 실제 회로 작동을 시험해 볼 때, 초 부분을 확인할 수 없
    리포트 | 12페이지 | 20,000원 | 등록일 2016.05.20 | 수정일 2021.05.30
  • [논리회로실험] 실험11. 디지털 클락
    과 목 : 논리회로설계실험과 제 명 : 디지털 시계 설계담당교수 :학 과 : 전자전기공학학 년 : 3학 번 :이 름 :제 출 일 : 2013.06.11.Introduction이번 ... 다.Design① Describe what your circuit does이번에 설계할 회로를 통해 디지털 시계를 설계하고 설계한 결과를 7-segment에 출력하게 된다. 이번에 설계할 시계 ... 실험에서는 VHDL을 이용하여 간단한 디지털 시계를 설계한다. 알람이나 타이머 같은 기능은 없이 순수하게 시간의 흐름만 확인할 수 있는 시계이다. 시계는 7-segment
    리포트 | 19페이지 | 2,000원 | 등록일 2014.03.22
  • TTL IC를 이용한 디지털 시계
    한 60진 카운터가 필요하다.실험18. TTL IC를 이용한 디지털 시계제Ⅱ부 디지털회로 실험 및 설계74LS390을 이용하여 10진 카운터를 구성하고 74LS390과 74LS08 ... 실험18TTL IC를 이용한 디지털 시계결 과1) 74LS390의 CKA와Q_D 단자를 동시에 측정하고 이들의 파형을 그려라.표 18-2단 자파 형CKAQ_D2) 그림 18-2 ... 390QD-QAQD-QAQD-QAQD-QAQD-QAQD-QA000000010001100100100010토 의1) 1Hz 구형파 발생회로555 비안정 멀티바이브레이터를 이용하여 디지털
    리포트 | 2페이지 | 1,000원 | 등록일 2012.07.09
  • [컴퓨터과학과] 2020년 1학기 디지털논리회로 교재전범위 핵심요약노트
    제1장 컴퓨터와 디지털 논리회로1. 디지털 시스템 1) 시스템의 정의(1) 검은 상자형 시스템① 입력과 출력을 갖는 검은상자로 표현② 시스템의 입력과 출력에만 관심을 갖 ... 과 출력이 디지털 데이터인 시스템 (예: 디지털시계, 디지털 온도계, 디지털 컴퓨터) (3) 디지털 시스템의 장점① 편리성: 데이터가 숫자로 입 · 출력 ② 융통성: 실행순서 ... 고 시스템을 고려(2) 구성요소 집합으로서 시스템① 검은 상자 내부에 관해 규정② 시스템에 부여된 목적을 달성하기 위해 상호작용하는 구성요소들의 집합2) 아날로그와 디지털(1) 데이터
    방송통신대 | 76페이지 | 11,000원 | 등록일 2020.01.06
  • [컴퓨터과학과] 2019년 1학기 디지털논리회로 출석수업대체시험 핵심체크
    제1장 컴퓨터와 디지털 논리회로1. 디지털 시스템 1) 시스템의 정의(1) 검은 상자형 시스템① 입력과 출력을 갖는 검은상자로 표현② 시스템의 입력과 출력에만 관심을 갖 ... 과 출력이 디지털 데이터인 시스템 (예: 디지털시계, 디지털 온도계, 디지털 컴퓨터) (3) 디지털 시스템의 장점① 편리성: 데이터가 숫자로 입 · 출력 ② 융통성: 실행순서 ... 시스템의 설계 및 논리회로(1) 디지털 시스템의 설계① 회로설계(circuit design) 단계: 능동소자와 수동소자를 연결시키는 단계② 논리설계(logic design) 단계: 논리회로를 만들기 위해 논리소자들을 연결시키는 단계- 중략 -
    방송통신대 | 29페이지 | 5,500원 | 등록일 2019.05.12
  • 디지털시계와 stop watch
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use ... IEEE.STD_LOGIC_UNSIGNED.ALL;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic ... ;push1 : in std_logic;push2 : in std_logic;push3 : in std_logic;digit : out std_logic_vector(1 to 6);s
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.18
  • 7-세그먼트 표시기와 디코더 결과보고서A+
    디코더를 이해하고 있는지, BCD자체를 이해하고 있는지를 확인할 수 있었다. BCD디코더를 활용한 예로는 디지털 시계가 있다. 평소에 자주보는 디지털 시계가 BCD디코더로 이루어져 ... 있다. 차이점은, 실험에서 한 BCD디코더는 입력을 직접 주었지만 시계는 플립플롭이 추가로 들어가있어서 내부clock에 따라 입력값이 변한다는 것이다.이번 실험을 통해 디지털공학과 ... Experiment-Report(10장 7-세그먼트 표시기와 디코더)1. 실험목적디지털 기기에 많이 사용되는 7-세그먼트에 대한 이해를 하고 BDC to 7-세그먼트 디코더
    리포트 | 9페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    1] 디지털 시계에서 구현할 기능2. 실험 이론2.1. HDL전자공학에서 하드웨어 기술 언어(Hardware Description Language)는 전자회로를 정밀하게 기술 ... Watchpost-lab reportⅠ. 서론11. 실험 목적본 보고서에서는 베릴로그 HDL과 FPGA를 사용하여 디지털 시계를 설계한다. 이 디지털 시계는 [표 1]의 필수 동작과 선택 ... 동작을 구현해야 하며, 실제 장치에 연결하여 소스코드의 내용과 디지털 시계의 동작 일치 여부를 확인한다.1)Text LCD를 사용2)기본적인 디지털 시계 기능□ 시/분/초를 표현
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 논리 설계 및 실험, 부산대학교, 논리 설계 텀프로젝트, 디지털 시계 설계 (예비,결과 보고서 및 PPT 포함)
    )이 포함되어있고,디지털 시계의 모든 모듈이 저장되어있습니다.분주회로, 카운터, MUX, DEMUX, 7세그먼트 디코더, 세계시간, 스톱워치, 타이머, 레지스터 등등이 모두 모듈 ... 이 프로그램은 부산대학교 논리설계및 실험 과목 텀프로젝트 디지털 시계 소스코드입니다.본 프로그램에는 PPT(30장 이상) 예비보고서 (30장 이상) , 결과 보고서(80장 이상 ... 에 모든 모듈의 회로도와 상세설명이 작성되어있어, 5000원이 아깝지 않을 정도로 좋은 자료입니다. 이프로그램은 2014년에 작성되어 이번에 처음 올라오는 자료이니, 중복 걱정 안하셔도 될듯합니다..플로리안 R7으로 작성되었습니다.
    리포트 | 10,000원 | 등록일 2014.12.22 | 수정일 2016.02.10
  • 디지탈시계 디자인
    egment decoderDigital Clock display시간 세팅 모드목적 및 개요디지털 시계는 카운터를 이용해 설계할 수 있는 순차회로의 하나이다. 디지털 시계를 설계하기 위해서 ... 시간 표시를 위한 7-세그먼트 표시기와 MOD-3, MOD-6, MOD-10 카운터 등이 필요하다.디지털 시계 설계 프로젝트는 수업에서 공부한 기본적인 회로들을 응용해서 실생활 ... 디지털 시스템 설계 설계 프로젝트 #2목적 및 개요목 차팀원활동 및 진행 스케쥴Digital Clock의 블럭도MOD-6, 10, 3 CounterWave Form7-s
    리포트 | 19페이지 | 1,000원 | 등록일 2012.12.04 | 수정일 2021.11.19
  • [컴퓨터과학과] 2019년 1학기 디지털논리회로 기말시험 핵심체크
    제1장 컴퓨터와 디지털 논리회로1. 디지털 시스템 1) 시스템의 정의(1) 검은 상자형 시스템① 입력과 출력을 갖는 검은상자로 표현② 시스템의 입력과 출력에만 관심을 갖 ... 과 출력이 디지털 데이터인 시스템 (예: 디지털시계, 디지털 온도계, 디지털 컴퓨터) (3) 디지털 시스템의 장점① 편리성: 데이터가 숫자로 입 · 출력 ② 융통성: 실행순서 ... 고 시스템을 고려(2) 구성요소 집합으로서 시스템① 검은 상자 내부에 관해 규정② 시스템에 부여된 목적을 달성하기 위해 상호작용하는 구성요소들의 집합2) 아날로그와 디지털(1) 데이터
    방송통신대 | 76페이지 | 9,900원 | 등록일 2019.05.18
  • 디지털시계 ppt
    디지털 시계개요 프로젝트개요 회로도 부품 / 준비물 디지털시계제작 고찰프로젝트 개요 디지털시계를 제작함으로서 회로구성의 용이성 , 범용성 등의 장점을 직접 체험할 수 있으며 지금 ... 회로를 구현할 수 있는 판디지털시계의 작동 원리 펄스 시 , 분 , 초 설계 ( 총 6 개 세그먼트 ) 0 ~ 9 까지의 수를 표현 5V 클럭을 받아 1 씩 세기 시작 . Z 값 ... 진 디코더 10 진 디코더 2 진 디코더 2 진 카운터 회로도디 지털시계 제작 1. 진리표 작성 2. 각 출력값에 따른 카르노맵 작성 3. 부울식 도출 가능한 크게 묶어주어 부울
    리포트 | 19페이지 | 1,500원 | 등록일 2012.12.10
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 08월 31일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:20 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감