• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(270)
  • 리포트(257)
  • 시험자료(5)
  • 논문(4)
  • 자기소개서(2)
  • 방송통신대(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"Programmable logic c" 검색결과 221-240 / 270건

  • [논리회로] 논리회로설계(Combinational Logic)
    - boolean, switching, algebra, combinational logic의 개념을 이해한다.- logicworks를 이용한 combinational 회로를 디자인 ... (Programmable Logic Arrays )­Standard logic gatesROM­읽기만 할수 있는 비트의 배열을 저장한다.­기계가 꺼져있을 때도 저장된 시스템 소프트웨어 ... Report제목 : Experiment Two과목명:논리회로 설계담 당:최재영 교수님제출일:Combinational Logic 의 소개INTRODUCTION?실습의 목적.
    리포트 | 7페이지 | 1,000원 | 등록일 2005.06.01
  • [도서관]디지털도서관(전자도서관)의 특징, 역할과 디지털도서관(전자도서관)의 필요성, 기대효과 및 국내외 디지털도서관(전자도서관)의 사례를 통해 본 향후 디지털도서관(전자도서관)의 전망 분석
    (Logical Library), 벽없는 도서관(Wall-less Library)이라고도 한다. 여기에서 다루고 있는 디지털 도서관은 'DL', 'EL', 'VL'을 모두 포함하는 포괄 ... (user interface agents, mediator agents, collection agents)를 통합하는 것이다.(4) Carnegie-Mellon 대학이 대학 디지털 ... 다. 음성 인식, 이미지 이해, 자연언어 처리와 같은 기술이 중요한 연구 과제이다.(5) Illinois, (U-C) 대학이 대학의 연구 분야는 응용수학, 이론 전산학, 정보 및
    리포트 | 15페이지 | 6,500원 | 등록일 2009.03.20
  • PLC와 시퀀스 제어 및 자기 유지회로에 대한 연구
    1. PLC란?1) 정의PLCProgrammable Logic Controller의 약어로 간단히 PLC라고 칭하며 Programmable Controller 또는 ... > PLC(programmable logic controller) : PCCPU로 시퀀스 회로를 프로그램화(soft were)한것으로 공장 자동화(FA)설비에 널리 이용된다.3 ... 시퀀스(logic sequence) : 반도체 스위칭(switching) 소자(다이오드, 트랜지스터, IC등과 같은 무접점 릴레이)를 사용하여 회로를 구성하는 무접점 제어3
    리포트 | 8페이지 | 2,500원 | 등록일 2004.12.05
  • [미디어아트] David Rokeby 에 대한 발표프리젠테이션
    math/logic/science with my art/music/literature.” “we allow ourselves to be open, engaged and ... program (usually). The biggest challenge for a programmer is to not keep control over the program itself ... artist based in Toronto, Canada. He has been creating interactive installations since 1982. He has
    리포트 | 8페이지 | 1,000원 | 등록일 2004.05.12
  • 자동화실험 보고서
    는 의미를 숙지하여 실제로 제어시스템을 프로그래밍 해 본다.(3) 기본이론1.PLC :?PLC(Programmable Logic Controller)란, 종래에 사용하던 제어반 내 ... 메모리의 할당 : P.L.C의 입,출력 메모리의 할당은 다음 5가지 인자에 의해 결정 된다. (PLC 프로그램의 입,출력 명령 체계)PLC 의 구성2. 공기압실린더공기의 압력 ... 기능에 따라 그 구성요소가 각기 다르며 여러 종류의 실린더가 생산 판매되고 있다.공기압실린더에 대해 KS 에서는 압력범위를 1~7 kgf/cm^2 으로 규정하고 있지만 시판되고 있
    리포트 | 8페이지 | 1,000원 | 등록일 2008.03.31
  • [제어계측] 순차제어
    )시퀀스 제어에서 프로그램 제어는 일명 무접점 제어라 할 수 있으며, 릴레이, 타이머, 카운터 등이 내장된 PLC(programmable logic controller)를 이용한 제어이 ... : 우주왕복선 - 최적 제어(optimal control)이론 개발③ 1960 : 제품 개발이용 - 고전제어(classical control) 인 PID 제어④ 1970 : 적응제어 ... 계(logic control system)제어 시스템이 제어하려는 입력조건에 만족하면, 이 때 동일한 제어 신호를 출력하는 제어 시스템을 말한다.3) 제어량의 종류에 따라 분류
    리포트 | 23페이지 | 1,000원 | 등록일 2005.06.08
  • Velilog이용해서 ALU설계.(쿼터스툴에서)
    .Quartus II 설계 툴의 개념 및 사용 방법의 이해간단한 ALU (Arithmetic and Logic Unit)의 설계를 예제로 Verilog를 이용한 설계 방법에 대한 이해 및 ... 실습FPGA (Field Programmable Gate Array) 를 이용한 디지털 시스템 설계 방법 및 개념시뮬레이션을 통한 설계 검증 과정주어진 ALU를 변형하여 자신 ... 라고도 하며 들어온 input 값이 clk이 posedge or negedge일 경우에만 반응하여 그 값이 출력 되어 지는 것이다. 여기서는 posedge clk와 posedge
    리포트 | 17페이지 | 1,500원 | 등록일 2008.04.09
  • 8031(8051) 특징
    중에서 CPU와 RAM, ROM의 부분을 나타낸 것이다.(1) PAL(Programmable Array Logic)PAL은 16개의 입력 선과 8개의 출력 선을 갖는 소자로서 입력 ... 074573HLatch Enable(S.S)19OUT#20xfff174573HLatch Enable(S.S)18OUT#30xfff274573HD/A converter 출력17OUT#40 ... , C/T와 GATE, TR0,INT0 및 TF0를 사용한다. TH0은 타이머 기능(머신 사이클 카운트)으로고정되고 타이머1로부터 TR1과 TF1을 이용한다. 그리하여 TH0
    리포트 | 17페이지 | 1,000원 | 등록일 2008.09.06
  • [MEMS]DNA based assembly
    . Dekker, C. Science 293, 76Logic Circuit V. Derycke, R. Martel,J. Appenzeller, and P. Avouris, Nano ... information in biology.ImmobilizationHydrophobic characters ionic interactions covalent ... assemblyDNA functionalizedPure DNANanoparticleCNTDNA structureClick to edit text stylesEdit your company s
    리포트 | 27페이지 | 5,000원 | 등록일 2006.06.11
  • [반도체공학] SEC 메모리 개요 및 시장현황
    데이터가 기록(프로그래밍)됨. ▶ Programmable ROM (PROM) -Fuse로 결선된 Hard-Wired Logic ROM으로 사용자가 한번에 한해 데이터를 기록 ... 에 주기적으로 정보를 다시 넣지 않으면 기억된 데이터가 없어지는 메모리. 데이터가 저장되는 Cell이 '1Tr+1C'로 구성된 RAM. 저장된 데이터를 유지하기 위해서는 전원 ... 화 메모리. 1-3 Non-volatile Memory(NVM)표 2 SEC NVM 분류가) ROM ▶ Mask ROM -Hard-Wired-Logic으로 구성된 ROM으로 칩 제조시
    리포트 | 17페이지 | 1,000원 | 등록일 2004.07.31
  • [VHDL] FPGA (Xilinx & Altera)
    다.■ Xilinx FPGA■Xilinx FPGA의 일반적인 구조는 그림 1과 같으며, Programmable Logic Block(CLB)들의 2차원적인 배열과 행 블록들 사이의 수평 ... (Programmable Interconnect Array)라 불리는 routing resource들로서 연결된다. 일반적인 구조는 그림 4에 나타내고 있다.{■Altera의 logic ... 적인 배선 채널(routing channel), 열 블록들 사이의 수직적인 배선 채널로서 구성된다.{{■프로그램 가능한 resource들은 static RAM셀들에 의해 제어되어지
    리포트 | 5페이지 | 1,000원 | 등록일 2002.04.20
  • PLC
    실험 장비 : PC, LG Master K-10S PLC, 램프, 전선실험 목적 : Fluid power systems에서 제어를 위해 사용되는 programmable logic ... . You need to build a ladder diagram and download it to PLC using RS232 connection.1. Programming task ... the lamp.(c) Manual switch turns on the lamp while it is pressed.◈ Input / Output AssignmentStart
    리포트 | 3페이지 | 2,000원 | 등록일 2004.05.09
  • [디지탈 공학] DIGITAL LOGIC 총정리
    (Programmable Logic Array)PLA(programmable logic array)란 특정 목적에 쉽게 적응시킬 수 있는 범용(汎用) 칩의 개발이 필요하게되었다. 그러한 필요성에 의하 ... -programmable logic array (FPLA : 현장에서 프로그램할 수 있는 논리 배열) 라고 부른다. 다른 방법에서는 특정 연결 패턴을 위하여 제공되는 적절한 마스크 ... DIGITAL LOGIC1.부울대수특징..분석 (Analysis) : 디지털 회로의 기능을 기술하는 데 효율적으로 사용될 수 있다..설계 (Design) : 어떤 함수가 주어졌
    리포트 | 20페이지 | 1,000원 | 등록일 2003.05.15
  • [디지털 전자통신]OrCAD
    Programmable Logic Design) 설계의 합성?Pspice for Windows- 아날로그와 디지털, 그리고 혼성된 신호 시뮬레이션?Layout Plus for ... Discretion Language)에 기반을 둔 디자인의 입력?Express for Windows- FPGA(Field Programmable Gate Array)와 CPLD(Complex ... 로써 cut,copy,paste 기능을 사용하여 다른 프로그램과 쉽게 도면 데이터를 공유하도록 모든 기능을 제공한다.또한 다중 보기 환경으로 여러 도면 페이지간 혹은 프로젝트간 도면
    리포트 | 5페이지 | 1,500원 | 등록일 2004.10.08
  • [네트워킹]Industrial Ethernet Standards 조사 및 분석
    :Programmable Logic Controller)의 디지털화가 선행해 고성능화, 고신뢰화, 보수 용이화가 진행되어 왔지만, 필드버스(field bus) 도입과 함께 필드 기기(검출단과 조작단 ... 로써 IEEE802.3u (Fast Ethernet) 사용- 표준 허브 및 케이블 사용- Transmission of cyclic, deterministic data with ... one company- 표준 ethernet 칩- Time Stamping Unit for Clock Synchronization (IEEE1588)- Successor of
    리포트 | 7페이지 | 1,500원 | 등록일 2005.11.02
  • [프로그래밍 언어] 프로그래밍 언어론 솔루션 유원희,하상호 공역 홍릉과학 출판사
    is to provide the reader with the tools necessary for the critical evaluation of existing and ... future programming languages. An additional goal is to prepare the reader for the study of compiler ... design. There were several sources of our motivations for the changes in the sixth edition. First, to
    리포트 | 57페이지 | 1,500원 | 등록일 2004.11.29
  • [실험실습] 8255 프로그래밍
    는 Intel사 제품의 PPI(Programmable Peripheral Interface)로3개의 포트(포트 A, B, C)의 8비트 입출력을 가지고 있는 범용 입출력장치이다.특징 ... 40핀 DIP, 5V 단일 전원이다.모든 입출력은 TTL Compatible24핀 Programmable I/O핀(A, B, C 포트)A, B, C 포트를 출력포트로 사용할 시 ... 래치/버퍼로 되어있어1.5V, 1mA의 출력 전류로 Darington TR를 구동시킬 수 있음A, B, C 포트를 8비트씩 3그룹이나 2그룹으로 독립해서 프로그래밍- 모드 0
    리포트 | 24페이지 | 1,000원 | 등록일 2003.05.01
  • [마케팅리서치] 과학철학의 종류
    」(methodology of scientific research programmes)을 간단히 살펴보기로 하자.Lakatos는 Popper의 반증주의를 개선하고, 또 그에 대한 반론 ... 科學哲學의 思潮1. 논리실증주의(Logical Positivism)콩트(A. Comte)에 의해 처음으로 사용된 실증주의(positivism)라는 말은 1920년대에 접어들 ... 면서 논리적 실증주의(logical positivism)이란 과학철학의 형태로 그 꽃을 피우게 된다. 슐리크(M. Schlick)나 바이스만(F. Waismann)으로 대표되는 비엔나
    리포트 | 9페이지 | 1,000원 | 등록일 2004.06.21
  • [자동제어] 유접점회로와 무접점회로 비교
    > 로직 시퀀스(logic sequence) : 반도체 스위칭(switching) 소자를 사용하여 회로를 구성하는 무접점 제어3> PLC(programmable logic ... 하여 시퀀스 회로의 상태를 결정하는 기구이다가. a 접점 : 원래는 열려있고 조작할때 닫히는 접점으로 메이크 접점(make-contact) 이라고도 함가. b 접점 : 원래는 닫혀있 ... 고 조작할때 열리는 접점으로 브레이크 접점(break-contact) 이라고도 함논리 소자1> 논리곱 회로(AND Gate) : 입력신호 A,B가 모두 있을때 출력 신호가 생기
    리포트 | 5페이지 | 1,000원 | 등록일 2003.05.08
  • 졸업논문_VHDL을 이용한 디지털 시계구현
    및 실제 구현한 내용을 위주로 살펴보도록 하겠다.III-2-1. ALTERA의 PLD개요일반적으로 ALTERA사에서 제공되는 Programmable Logic Device ... 의 c;d_inc : in std_logic;d_mov : in std_logic;d_set : in std_logic;to_date : out std_logic;ds_yea1 ... downto 0);ds_day0 : out std_logic_vector(3 downto 0));end component;component decoder -- decoder모듈
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 07일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감