• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(818)
  • 리포트(732)
  • 논문(50)
  • 시험자료(27)
  • 방송통신대(6)
  • 서식(1)
  • 자기소개서(1)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Input Variables" 검색결과 201-220 / 818건

  • 오실로스코프 작동법
    -GND-DC, VOLT/DIV , VARIABLE, POSITION, V.MODE- 소인과 동기부 : TIME/DIV , VARIABLE, HORI,POSITION, TRGGER ... 의 VARIABLE 다이얼을 CAL 위치로 (시계방향으로 끝까지) 돌린다.(2)오실로스코프의 전원을 켠 후 초점, 휘도 등을 조정하여 선명한 상이 되도록 한다. 프로 브를 10:1로 하고 CH ... -1의 INPUT단자에 연결하고 프로브의 끝을 CAL 0.5V(1V)p-p출력단자에 연결 한 후 AC-GND-DC스위치를 DC에 놓는다.(3)이때, 1kHZ의 0.5V(1V)p-p
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.22 | 수정일 2017.03.13
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    _logic_logic_vector( 7 downto 0);variable input_b : std_logic_vector( 7 downto 0);variable cnt2 : integer ... pecifications : input and output informationWebPack을 실행시켜서 프로젝트를 새로 생성하고 이에 따른 VHDL 모듈을 선택한다. 위 설정은 현재 우리가 사용 ... 째 입력세트가 들어간 상태이다.s가 "1000"일 때 input a를 나타내고 있는 모습이다. input a가 “00111001”이기 때문에 7 segment는 39로 출력된다.(5
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 물리학및실험2 (1) 디지털 멀티미터 및 오실로스코프
    기 전에 GND 상태로, 수평방향, 수직방향의 VARIABLE 다이얼을 CAL 위치로 (시계방향으로 끝까지) 돌린다.(2)오실로스코프의 전원을 켠 후 초점(focus), 휘도 ... (intensity) 등을 조정하여 선명한 상이 되도록 한다. 프로브(probe)를 10:1로 하고 CH-1의 INPUT 단자에 연결하고 프로브의 끝을 CAL 0.5Vp-p (또는 1Vp
    리포트 | 6페이지 | 1,500원 | 등록일 2019.04.03 | 수정일 2019.04.05
  • 성대 진동실험 (A+) - Op-amp and Strain gauge
    device that can amplify the input voltage and makes bigger output voltage. With this usefulness, an ... theory. To make it better experiment, variable resistance should be replaced with the constant ... resistance or the better variable resistance. Also calculating with the real value can reduce the error.Ⅱ
    리포트 | 16페이지 | 3,000원 | 등록일 2017.01.06
  • 카이스트 Bioengineering Laboratory 1 lab5_final [Microarray data analysis]
    어 사용하는데 큰 어려움이 없었다.Matrix 기반이므로 직접적으로 matrix variable을 input하여 처리가 가능하다.(line 20) C++에서는 이를 구현하기 위해서 ... 는 list type의 object row들을 input으로 하는 아주 복잡한 for 문이 필요했다. 하지만 line 20에서처럼 직접적으로 matrix 형식의 variable ... 다. 그리고 command의 결과가 바로 variable에 반영되므로 작업을 이어서 하기에 용이하다.Workspace에 현재 사용중인 variable들이 나타나므로 현재
    리포트 | 5페이지 | 2,000원 | 등록일 2015.01.04
  • 2016년도 중앙대학교 전자전기공학부 3학년 1학기 전자회로설계실습 예비보고서 9장 Current-Steering 회로와 Differential Amplifier 설계
    kΩ 5%2개Variable Resistor 가변저항 1 MΩ4개2. 준비물 및 유의사항3. 설계실습 계획서3.1 Current-steering 회로 설계3.1.1 Current ... ) 설계한 Differential-mode voltage gain (midband gain)을 구한다. 이때 Differential voltage input은 v1-v2으로 정의
    리포트 | 4페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • SCAP 4일차 실습코드 정리 및 이론 요약
    는다. 따라서 number값에 따른 foot값이 변화되지 않고 word scanner에서 처리된 마지막 값만 (global symbol table의 값) 고정적으로 존재/* 1. input ... Processing%DO index-variable = start %TO stop ;text%END;: macro language 와 generate SAS code를 실행시킬 수 있
    시험자료 | 14페이지 | 1,500원 | 등록일 2020.05.30
  • 데이터마이닝 분석기법 사례조사 - 의사결정나무와 딥러닝
    층(Input Layer)과 은닉층(Hidden Layer), 출력층(Output Layer)으로 구성되어 있다. 은닉층은 다층으로 구성될 수 있으며 이어져 있는 각 층은 그 전층의 입력 ... 된 잠재변수(Latent Variable) z를 만들어낸다. decoder라고 불리는 뉴럴네트워크는 encoder가 만든 z를 활용해 x를 복원해내는 역할을 한다.VAE의 훈련
    리포트 | 6페이지 | 1,000원 | 등록일 2019.11.30
  • 실수형 계산기
    _variable();void number_to_display();void display();void delay(unsigned int cnt);interrupt[ADC_INT ... =0;int cur_frag= 0;int cur_blink= 0;int num_sign;char n_dis[4];char input1[9] = {0};char input2[9 ... ] = {0};char output[8] = {0};char operator;int input1_ptr;int input2_ptr;int output_ptr;int oper_ptr
    리포트 | 2,000원 | 등록일 2013.12.18
  • 고려대 전기회로실험 8주차(31, 32, 33) 예비보고서
    Positioning, Centering, Volt/Div. Variable, Input Coupling AC-GND-DC, Vertical MODE Switches, Time/Div., Vert
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.04
  • 심리통계 총정리
    Ⅰ. 통계의 기초1. 통계학의 목적2. 변인(variable), 측정(measurement), 척도(scale)3. 빈도분포(frequency distribution) & 다양 ... 의 목적① 현상의 요약 기술② 관찰된 소수의 결과로 관찰되지 않은 관심집단 전체에 확대해석(일반화)(오류가 항상 개입되므로, 오류의 가능성을 확률로 규정)2. 변인(variable ... ) 변인(variable: 변수) : 측정대상들을 구별해주는 성질* 상수(constant) : 모든 측정대상에 동일한 것* 조작적 정의(operational definition
    리포트 | 22페이지 | 8,500원 | 등록일 2017.04.23
  • 선박자동접안 프로그램 개념설계에 관한 연구
    output and output angle for berthing parallel to the pier, and the turning due to other variables ... presented by analyzing the input elements necessary for the theoretical formula. 해양환경안전학회 해양환경안전학회지 강병선, 정창현
    논문 | 6페이지 | 4,000원 | 등록일 2024.02.12 | 수정일 2025.09.08
  • [논리회로실험] 실험6. ALU kit
    input_b를 각각 variable로 선언한다. 마지막으로 count를 할 cnt2도 variable로 선언해준다.필요한 input, output, 그 외 것들의 선언이 끝났으면 ... entity에 input과 output을 선언한다. input에는 연산의 대상을 결정하는 S2와 S3를 의미하는 a, b를 선언한다. 그리고 reset을 의미하는 rst ... 도 input으로 선언한다. clock을 의미하는 clk도 input으로 선언한다. 마지막으로 ALU의 상태를 의미하는 s를 선언한다. output으로는 결과값을 나타낼 7-segment
    리포트 | 25페이지 | 2,000원 | 등록일 2014.03.22
  • 31. Oscilloscope Operation(예비)
    은 오실로스코프가 peak-to-peak 전압 측정을 할 수 있도록 한다. Dual-trace 오실로스코프는 분리된 Variable 조정자를 각각의 채널마다 갖는다.Input ... 다.Variable : 이 조정자는 어떤 오실로스코프에서는 Volts/Dic 조정자의 중앙에 위치하고 있고, 어떤 오실로스코프에서는 별도의 위치에 위치하고 있다. 두 경우 모두 그 기능은 유사 ... 하다. variable 단자는 스크린상의 파형의 수직 높이의 민감한 조정을 위해 Volts/Div 조정자와 함께 사용된다. 오실로스코프에 따라 시계방향 또는 반시계방향의 끝에 c
    리포트 | 5페이지 | 1,500원 | 등록일 2015.02.03
  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    을 분석해 보겠다. 우선 function을 패키지 내 선언한 부분부터 보겠다. function 이름을 지정하고 input 변수(A_in, B_in)들을 std_logic ... _vector로 지정하였다. 이는 input이 4비트 입력을 받는 가산기능을 수행하여야 하기 때문에 [그림1]과 같이 선언하였다. 그 후 return값 또한 std_logic_vector ... 패키지 내 선언과 같이 적어준 후 variable Sum을 5비트 std_logic_vector로 선언하였다. 이 function은 4비트 입력 A_in과 B_in의 가산기능을 수행
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • 아주대 통합설계프로젝트1 전파테크(이해영교수님) 결과1 Wireless RF system and ADS advanced education
    first experiment. But in this test, we designate an input with the variable which is a X. So we can ... when input is zero dBm, the harmonic element at 3GHz is about -5dB. We can find the harmonics because ... powerwhen sweep input power from -40 to 10 dBm(2) Spec of designP_1Tone : Z=50ohm, P=polar(dbmtow(from
    리포트 | 12페이지 | 1,500원 | 등록일 2014.10.05 | 수정일 2017.08.03
  • assembly code 및 hand assemble 이해- register, ALSU, handassemble
    _logic;input : in std_logic_vector(7 downto 0); -- input of register A, Blda, ldb, ex : in std_logic ... _vector(4 downto 0) := "00000"; -- ALSU resultbeginprocess(reset, clk)variable sel : std_logic_vector(3
    리포트 | 1,500원 | 등록일 2014.04.25
  • 실험 프로젝트-신호등 제작과정 보고서
    Lights LED6) LCD7) Final Circuit3. Hardware Specification1) Using MC7805CT Regulator, make 9V input ... voltage becomes 5V output voltage.By Datasheet : When input voltageV _{IN} is7.0Vdc LEQ V _{IN} LEQ 20Vdc ... XTAL2 are the input and output, respectively, of an inverting amplifier that can be configured for use
    리포트 | 17페이지 | 4,000원 | 등록일 2014.08.18
  • Power Supply for an Audio Amplifier
    resistor?When we input the high frequency, the variable resistor connected parallel with capacitor(22nF ... were removed. The AC input voltage converted as the 5.2V DC signal.- Discuss the role of linear ... input voltage. Also linear regulator operated by using a voltage-controlled current source to force a
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.27
  • 카이스트 Bioengineering Laboratory 1 lab4_prelab [Text Mining of Biological Literature]
    .begin()+6, line.end());sen_index=0;return PMID;}//function that get protein & GeneName as input.//find ... , storage PMID in local variable.if(!line.find("PMID")) loc_PMID=return_PMID(line);//count sentence
    리포트 | 9페이지 | 1,500원 | 등록일 2015.01.04
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:50 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감